OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1k_startup/] [rtl/] [verilog/] [OR1K_startup_ACTEL_IP.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marcus.erl
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1K_startup                                                ////
4
////                                                              ////
5
////  This file is part of the OR1K startup IP core project       ////
6
////  http://www.opencores.org/                                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Michael Unneback (unneback@opencores.org)             ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2009 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
module OR1K_startup
41
  (
42
    input [6:2]       wb_adr_i,
43
    input             wb_stb_i,
44
    input             wb_cyc_i,
45
    output reg [31:0] wb_dat_o,
46
    output reg        wb_ack_o,
47
    input             wb_clk,
48
    input             wb_rst
49
   );
50
   reg [3:0]           counter;
51
   wire [7:0]          do;
52
   parameter [31:0] NOP = 32'h15000000;
53
   always @ (posedge wb_clk or posedge wb_rst)
54
     if (wb_rst)
55
       counter <= 4'd0;
56
     else
57
       if (!wb_cyc_i)
58
         counter <= 4'd0;
59
       else if (wb_cyc_i & wb_stb_i & !wb_ack_o)
60
         counter <= counter + 4'd1;
61
   always @ (posedge wb_clk or posedge wb_rst)
62
     if (wb_rst)
63
       wb_ack_o <= 1'b0;
64
     else
65
       wb_ack_o <= (counter == 4'd15);
66
   always @ (posedge wb_clk or posedge wb_rst)
67
     if (wb_rst)
68
       wb_dat_o <= NOP;
69
     else
70
       case (counter)
71
         4'd15: wb_dat_o[31:24] <= do;
72
         4'd11: wb_dat_o[23:16] <= do;
73
         4'd7 : wb_dat_o[15: 8] <= do;
74
         4'd3 : wb_dat_o[ 7: 0] <= do;
75
       endcase
76
   flash flash0
77
     (
78
      .CLK  (counter[1] ^ counter[0]),
79
      .ADDR ({wb_adr_i,counter[3:2]}),
80
      .DOUT (do)
81
      );
82
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.