OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [bench/] [verilog/] [or1200_monitor.v] - Blame information for rev 57

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's simulation monitor                                 ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Simulation monitor                                          ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - move it to bench                                         ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_monitor.v,v $
47
// Revision 1.4  2004/04/05 08:46:06  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.3  2003/04/07 01:32:53  lampret
51
// Added get_gpr support for OR1200_RFRAM_GENERIC
52
//
53
// Revision 1.2  2002/08/12 05:38:11  lampret
54
// Added more WISHBONE protocol checks. Removed nop.log. Added general.log and lookup.log.
55
//
56
// Revision 1.1  2002/03/28 19:59:55  lampret
57
// Added bench directory
58
//
59
// Revision 1.9  2002/02/01 19:56:54  lampret
60
// Fixed combinational loops.
61
//
62
// Revision 1.8  2002/01/28 01:25:22  lampret
63
// Fixed display of new 'void' nop insns.
64
//
65
// Revision 1.7  2002/01/19 14:10:39  lampret
66
// Fixed OR1200_XILINX_RAM32X1D.
67
//
68
// Revision 1.6  2002/01/18 07:57:56  lampret
69
// Added support for reading XILINX_RAM32X1D register file.
70
//
71
// Revision 1.5  2002/01/14 06:19:35  lampret
72
// Added debug model for testing du. Updated or1200_monitor.
73
//
74
// Revision 1.4  2002/01/03 08:40:15  lampret
75
// Added second clock as RISC main clock. Updated or120_monitor.
76
//
77
// Revision 1.3  2001/11/23 08:50:35  lampret
78
// Typos.
79
//
80
// Revision 1.2  2001/11/10 04:22:55  lampret
81
// Modified monitor tu support exceptions.
82
//
83
// Revision 1.1.1.1  2001/11/04 18:51:07  lampret
84
// First import.
85
//
86
// Revision 1.1  2001/08/20 18:17:52  damjan
87
// Initial revision
88
//
89
// Revision 1.1  2001/08/13 03:37:07  lampret
90
// Added monitor.v and timescale.v
91
//
92
// Revision 1.1  2001/07/20 00:46:03  lampret
93
// Development version of RTL. Libraries are missing.
94
//
95
//
96 55 julius
`include "timescale.v"
97 6 julius
`include "or1200_defines.v"
98
`include "orpsoc_testbench_defines.v"
99
 
100
//
101
// Top of OR1200 inside test bench
102
//
103
`define OR1200_TOP orpsoc_testbench.dut.i_or1k.i_or1200_top
104
 
105
//
106
// Enable display_arch_state task
107
//
108
//`define OR1200_DISPLAY_ARCH_STATE
109
 
110
module or1200_monitor;
111
 
112
   integer fexe;
113
   reg [23:0] ref;
114
   integer    fspr;
115
   integer    fgeneral;
116
   integer    flookup;
117
   integer    r3;
118
   integer    insns;
119
 
120
   //
121
   // Initialization
122
   //
123
   initial begin
124
      ref = 0;
125
      fexe = $fopen({`TEST_RESULTS_DIR,`TEST_NAME_STRING,"-executed.log"});
126
      $timeformat (-9, 2, " ns", 12);
127
      fspr = $fopen({`TEST_RESULTS_DIR,`TEST_NAME_STRING,"-sprs.log"});
128
      fgeneral = $fopen({`TEST_RESULTS_DIR,`TEST_NAME_STRING,"-general.log"});
129
      flookup = $fopen({`TEST_RESULTS_DIR,`TEST_NAME_STRING,"-lookup.log"});
130
      insns = 0;
131
 
132
   end
133
 
134
   //
135
   // Get GPR
136
   //
137
   task get_gpr;
138
      input     [4:0]    gpr_no;
139
      output [31:0]      gpr;
140
      integer           j;
141
      begin
142
`ifdef OR1200_RFRAM_GENERIC
143
         for(j = 0; j < 32; j = j + 1) begin
144
            gpr[j] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.mem[gpr_no*32+j];
145
         end
146
`else
147
 `ifdef OR1200_XILINX_RAM32X1D
148
         gpr[0] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_0.mem[gpr_no];
149
         gpr[1] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_1.mem[gpr_no];
150
         gpr[2] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_2.mem[gpr_no];
151
         gpr[3] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_3.mem[gpr_no];
152
         gpr[4] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_4.mem[gpr_no];
153
         gpr[5] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_5.mem[gpr_no];
154
         gpr[6] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_6.mem[gpr_no];
155
         gpr[7] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_0.ram32x1d_7.mem[gpr_no];
156
         gpr[8] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_0.mem[gpr_no];
157
gpr[9] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_1.mem[gpr_no];
158
         gpr[10] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_2.mem[gpr_no];
159
gpr[11] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_3.mem[gpr_no];
160
         gpr[12] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_4.mem[gpr_no];
161
gpr[13] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_5.mem[gpr_no];
162
         gpr[14] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_6.mem[gpr_no];
163
gpr[15] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_1.ram32x1d_7.mem[gpr_no];
164
         gpr[16] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_0.mem[gpr_no];
165
gpr[17] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_1.mem[gpr_no];
166
gpr[18] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_2.mem[gpr_no];
167
gpr[19] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_3.mem[gpr_no];
168
gpr[20] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_4.mem[gpr_no];
169
gpr[21] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_5.mem[gpr_no];
170
gpr[22] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_6.mem[gpr_no];
171
gpr[23] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_2.ram32x1d_7.mem[gpr_no];
172
gpr[24] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_0.mem[gpr_no];
173
gpr[25] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_1.mem[gpr_no];
174
gpr[26] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_2.mem[gpr_no];
175
gpr[27] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_3.mem[gpr_no];
176
gpr[28] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_4.mem[gpr_no];
177
gpr[29] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_5.mem[gpr_no];
178
gpr[30] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_6.mem[gpr_no];
179
gpr[31] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.xcv_ram32x8d_3.ram32x1d_7.mem[gpr_no];
180
`else
181
 `ifdef OR1200_XILINX_RAMB4
182
         for(j = 0; j < 16; j = j + 1) begin
183
            gpr[j] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.ramb4_s16_0.mem[gpr_no*16+j];
184
         end
185
for(j = 0; j < 16; j = j + 1) begin
186
   gpr[j+16] = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.ramb4_s16_1.mem[gpr_no*16+j];
187
end
188
 `else
189
  `ifdef OR1200_ARTISAN_SDP
190
  `else
191
gpr = `OR1200_TOP.or1200_cpu.or1200_rf.rf_a.mem[gpr_no];
192
  `endif
193
 `endif
194
`endif
195
`endif
196
         end
197
 endtask
198
 
199
   //
200
   // Write state of the OR1200 registers into a file
201
   //
202
   // Limitation: only a small subset of register file RAMs
203
   // are supported
204
   //
205
   task display_arch_state;
206
      reg [5:0] i;
207
      reg [31:0] r;
208
      integer    j;
209
      begin
210
`ifdef OR1200_DISPLAY_ARCH_STATE
211
         ref = ref + 1;
212
         $fdisplay(flookup, "Instruction %d: %t", insns, $time);
213
         $fwrite(fexe, "\nEXECUTED(%d): %h:  %h", insns, `OR1200_TOP.or1200_cpu.or1200_except.wb_pc, `OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn);
214
         for(i = 0; i < 32; i = i + 1) begin
215
            if (i % 4 == 0)
216
              $fdisplay(fexe);
217
            get_gpr(i, r);
218
            $fwrite(fexe, "GPR%d: %h  ", i, r);
219
         end
220
         $fdisplay(fexe);
221
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.sr;
222
         $fwrite(fexe, "SR   : %h  ", r);
223
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.epcr;
224
         $fwrite(fexe, "EPCR0: %h  ", r);
225
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.eear;
226
         $fwrite(fexe, "EEAR0: %h  ", r);
227
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.esr;
228
         $fdisplay(fexe, "ESR0 : %h", r);
229
         insns = insns + 1;
230
`endif
231
end
232
   endtask // display_arch_state
233
 
234 57 julius
   //
235
   // Write state of the OR1200 registers into a file; version for exception
236
   //
237
   task display_arch_state_except;
238
      reg [5:0] i;
239
      reg [31:0] r;
240
      integer    j;
241
      begin
242
`ifdef OR1200_DISPLAY_ARCH_STATE
243
         ref = ref + 1;
244
         $fdisplay(flookup, "Instruction %d: %t", insns, $time);
245
         $fwrite(fexe, "\nEXECUTED(%d): %h:  %h  (exception)", insns, `OR1200_TOP.or1200_cpu.or1200_except.ex_pc, `OR1200_TOP.or1200_cpu.or1200_ctrl.ex_insn);
246
         for(i = 0; i < 32; i = i + 1) begin
247
            if (i % 4 == 0)
248
              $fdisplay(fexe);
249
            get_gpr(i, r);
250
            $fwrite(fexe, "GPR%d: %h  ", i, r);
251
         end
252
         $fdisplay(fexe);
253
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.sr;
254
         $fwrite(fexe, "SR   : %h  ", r);
255
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.epcr;
256
         $fwrite(fexe, "EPCR0: %h  ", r);
257
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.eear;
258
         $fwrite(fexe, "EEAR0: %h  ", r);
259
         r = `OR1200_TOP.or1200_cpu.or1200_sprs.esr;
260
         $fdisplay(fexe, "ESR0 : %h", r);
261
         insns = insns + 1;
262
`endif
263
      end
264
   endtask // display_arch_state_except
265
 
266 6 julius
   /* Keep a trace buffer of the last lot of instructions and addresses
267
    * "executed",as read from the writeback stage, and cause a $finish if we hit
268
    * an instruction that is invalid, such as all zeros.
269
    * Currently, only breaks on an all zero instruction, but should probably be
270
    * made to break for anything with an X in it too. And of course ideally this
271
    * shouldn't be needed - but is handy if someone changes something and stops
272
    * the test continuing forever.
273
    */
274 49 julius
   integer num_nul_inst;
275
   initial num_nul_inst = 0;
276
 
277 6 julius
   task monitor_for_crash;
278
      `define OR1200_MONITOR_CRASH_TRACE_SIZE 32
279
      reg [31:0] insn_trace [0:`OR1200_MONITOR_CRASH_TRACE_SIZE-1]; //Trace buffer of 32 instructions
280
      reg [31:0] addr_trace [0:`OR1200_MONITOR_CRASH_TRACE_SIZE-1]; //Trace buffer of the addresses of those instructions
281
      integer i;
282
 
283
     begin
284
        if (`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn == 32'h00000000)
285 49 julius
          num_nul_inst = num_nul_inst + 1;
286
 
287
        if (num_nul_inst == 1000) // Sat a loop a bit too long...
288 6 julius
          begin
289
             $fdisplay(fgeneral, "ERROR - no instruction at PC %h", `OR1200_TOP.or1200_cpu.or1200_except.wb_pc);
290
             $fdisplay(fgeneral, "Crash trace: Last %d instructions: ",`OR1200_MONITOR_CRASH_TRACE_SIZE);
291
 
292
             $fdisplay(fgeneral, "PC\t\tINSTR");
293
             for(i=`OR1200_MONITOR_CRASH_TRACE_SIZE-1;i>=0;i=i-1) begin
294
                $fdisplay(fgeneral, "%h\t%h",addr_trace[i], insn_trace[i]);
295
             end
296
             #100 $finish;
297
          end
298
        else
299
          begin
300
             for(i=`OR1200_MONITOR_CRASH_TRACE_SIZE-1;i>0;i=i-1) begin
301
                insn_trace[i] = insn_trace[i-1];
302
                addr_trace[i] = addr_trace[i-1];
303
             end
304
             insn_trace[0] = `OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn;
305
             addr_trace[0] = `OR1200_TOP.or1200_cpu.or1200_except.wb_pc;
306
          end
307
 
308
     end
309
   endtask // monitor_for_crash
310
 
311
   integer iwb_progress;
312
   reg [31:0] iwb_progress_addr;
313
   //
314
   // WISHBONE bus checker
315
   //
316
   always @(posedge `OR1200_TOP.iwb_clk_i)
317
     if (`OR1200_TOP.iwb_rst_i) begin
318
        iwb_progress = 0;
319
        iwb_progress_addr = `OR1200_TOP.iwb_adr_o;
320
     end
321
     else begin
322
        if (`OR1200_TOP.iwb_cyc_o && (iwb_progress != 2)) begin
323
           iwb_progress = 1;
324
        end
325
        if (`OR1200_TOP.iwb_stb_o) begin
326
           if (iwb_progress >= 1) begin
327
              if (iwb_progress == 1)
328
                iwb_progress_addr = `OR1200_TOP.iwb_adr_o;
329
              iwb_progress = 2;
330
           end
331
           else begin
332
              $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.iwb_stb_o raised without `OR1200_TOP.iwb_cyc_o, at %t\n", $time);
333
              #100 $finish;
334
           end
335
        end
336
        if (`OR1200_TOP.iwb_ack_i & `OR1200_TOP.iwb_err_i) begin
337
           $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.iwb_ack_i and `OR1200_TOP.iwb_err_i raised at the same time, at %t\n", $time);
338
        end
339
        if ((iwb_progress == 2) && (iwb_progress_addr != `OR1200_TOP.iwb_adr_o)) begin
340
           $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.iwb_adr_o changed while waiting for `OR1200_TOP.iwb_err_i/`OR1200_TOP.iwb_ack_i, at %t\n", $time);
341
           #100 $finish;
342
        end
343
        if (`OR1200_TOP.iwb_ack_i | `OR1200_TOP.iwb_err_i)
344
          if (iwb_progress == 2) begin
345
             iwb_progress = 0;
346
             iwb_progress_addr = `OR1200_TOP.iwb_adr_o;
347
          end
348
          else begin
349
             $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.iwb_ack_i/`OR1200_TOP.iwb_err_i raised without `OR1200_TOP.iwb_cyc_i/`OR1200_TOP.iwb_stb_i, at %t\n", $time);
350
             #100 $finish;
351
          end
352
        if ((iwb_progress == 2) && !`OR1200_TOP.iwb_stb_o) begin
353
           $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.iwb_stb_o lowered without `OR1200_TOP.iwb_err_i/`OR1200_TOP.iwb_ack_i, at %t\n", $time);
354
           /*                   #100 $finish;*/
355
        end
356
     end
357
 
358
   integer dwb_progress;
359
reg [31:0] dwb_progress_addr;
360
//
361
// WISHBONE bus checker
362
//
363
always @(posedge `OR1200_TOP.dwb_clk_i)
364
  if (`OR1200_TOP.dwb_rst_i)
365
    dwb_progress = 0;
366
  else begin
367
     if (`OR1200_TOP.dwb_cyc_o && (dwb_progress != 2))
368
       dwb_progress = 1;
369
     if (`OR1200_TOP.dwb_stb_o)
370
       if (dwb_progress >= 1) begin
371
          if (dwb_progress == 1)
372
            dwb_progress_addr = `OR1200_TOP.dwb_adr_o;
373
          dwb_progress = 2;
374
       end
375
       else begin
376
          $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.dwb_stb_o raised without `OR1200_TOP.dwb_cyc_o, at %t\n", $time);
377
          #100 $finish;
378
       end
379
     if (`OR1200_TOP.dwb_ack_i & `OR1200_TOP.dwb_err_i) begin
380
        $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.dwb_ack_i and `OR1200_TOP.dwb_err_i raised at the same time, at %t\n", $time);
381
     end
382
     if ((dwb_progress == 2) && (dwb_progress_addr != `OR1200_TOP.dwb_adr_o)) begin
383
        $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.dwb_adr_o changed while waiting for `OR1200_TOP.dwb_err_i/`OR1200_TOP.dwb_ack_i, at %t\n", $time);
384
        #100 $finish;
385
     end
386
     if (`OR1200_TOP.dwb_ack_i | `OR1200_TOP.dwb_err_i)
387
       if (dwb_progress == 2) begin
388
          dwb_progress = 0;
389
          dwb_progress_addr = `OR1200_TOP.dwb_adr_o;
390
       end
391
       else begin
392
          $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.dwb_ack_i/`OR1200_TOP.dwb_err_i raised without `OR1200_TOP.dwb_cyc_i/`OR1200_TOP.dwb_stb_i, at %t\n", $time);
393
          #100 $finish;
394
       end
395
     if ((dwb_progress == 2) && !`OR1200_TOP.dwb_stb_o) begin
396
        $fdisplay(fgeneral, "WISHBONE protocol violation: `OR1200_TOP.dwb_stb_o lowered without `OR1200_TOP.dwb_err_i/`OR1200_TOP.dwb_ack_i, at %t\n", $time);
397
        #100 $finish;
398
     end
399
       end
400
 
401
//
402
// Hooks for:
403
// - displaying registers
404
// - end of simulation
405
// - access to SPRs
406
//
407
   always @(posedge `OR1200_TOP.or1200_cpu.or1200_ctrl.clk)
408
     if (!`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_freeze) begin
409
        #2;
410
        if (((`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn[31:26] != `OR1200_OR32_NOP) || !`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn[16])
411
            && !(`OR1200_TOP.or1200_cpu.or1200_except.except_flushpipe && `OR1200_TOP.or1200_cpu.or1200_except.ex_dslot))
412
          begin
413
             display_arch_state;
414
             monitor_for_crash;
415
          end
416
        else
417
          if (`OR1200_TOP.or1200_cpu.or1200_except.except_flushpipe)
418
            display_arch_state_except;
419
        if (`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn == 32'h1500_0001) begin // small hack to stop simulation (l.nop 1)
420
           get_gpr(3, r3);
421
           $fdisplay(fgeneral, "%t: l.nop exit (%h)", $time, r3);
422
           $finish;
423
        end
424
        if (`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn == 32'h1500_000a) begin // debug if test (l.nop 10)
425
           $fdisplay(fgeneral, "%t: l.nop dbg_if_test", $time);
426
`ifdef DBG_IF_MODEL
427
           xess_top.i_xess_fpga.dbg_if_model.dbg_if_test_go = 1;
428
`endif
429
        end
430
if (`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn == 32'h1500_0002) begin // simulation reports (l.nop 2)
431
   get_gpr(3, r3);
432
   $fdisplay(fgeneral, "%t: l.nop report (%h)", $time, r3);
433
end
434
        if (`OR1200_TOP.or1200_cpu.or1200_ctrl.wb_insn == 32'h1500_0003) begin // simulation printfs (l.nop 3)
435
           get_gpr(3, r3);
436
           $fdisplay(fgeneral, "%t: l.nop printf (%h)", $time, r3);
437
        end
438
        if (`OR1200_TOP.or1200_cpu.or1200_sprs.sprs_op == `OR1200_ALUOP_MTSR)  // l.mtspr
439
          $fdisplay(fspr, "%t: Write to SPR : [%h] <- %h", $time,
440
                    `OR1200_TOP.or1200_cpu.or1200_sprs.spr_addr, `OR1200_TOP.or1200_cpu.or1200_sprs.spr_dat_o);
441
        if (`OR1200_TOP.or1200_cpu.or1200_sprs.sprs_op == `OR1200_ALUOP_MFSR)  // l.mfspr
442
          $fdisplay(fspr, "%t: Read from SPR: [%h] -> %h", $time,
443
                    `OR1200_TOP.or1200_cpu.or1200_sprs.spr_addr, `OR1200_TOP.or1200_cpu.or1200_sprs.to_wbmux);
444
     end
445
 
446
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.