OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [generic/] [ft/] [sim/] [bin/] [Makefile] - Blame information for rev 483

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 483 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####                                                              ####
12
####  Author(s):                                                  ####
13
####      - Julius Baxter, julius@opencores.org                   ####
14
####                                                              ####
15
####                                                              ####
16
######################################################################
17
####                                                              ####
18
#### Copyright (C) 2009,2010 Authors and OPENCORES.ORG            ####
19
####                                                              ####
20
#### This source file may be used and distributed without         ####
21
#### restriction provided that this copyright statement is not    ####
22
#### removed from the file and that any derivative work contains  ####
23
#### the original copyright notice and the associated disclaimer. ####
24
####                                                              ####
25
#### This source file is free software; you can redistribute it   ####
26
#### and/or modify it under the terms of the GNU Lesser General   ####
27
#### Public License as published by the Free Software Foundation; ####
28
#### either version 2.1 of the License, or (at your option) any   ####
29
#### later version.                                               ####
30
####                                                              ####
31
#### This source is distributed in the hope that it will be       ####
32
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
33
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
34
#### PURPOSE.  See the GNU Lesser General Public License for more ####
35
#### details.                                                     ####
36
####                                                              ####
37
#### You should have received a copy of the GNU Lesser General    ####
38
#### Public License along with this source; if not, download it   ####
39
#### from http://www.opencores.org/lgpl.shtml                     ####
40
####                                                              ####
41
######################################################################
42
 
43
# Name of the directory we're currently in
44
CUR_DIR=$(shell pwd)
45
 
46
# The root path of the whole project
47
PROJECT_ROOT ?=$(CUR_DIR)/../../../../..
48
 
49
DESIGN_NAME=orpsoc
50
RTL_TESTBENCH_TOP=$(DESIGN_NAME)_testbench
51
 
52
# Hardset the board name, even though we could probably determine it
53
FPGA_VENDOR=generic
54
BOARD_NAME=ft
55
BOARD_DIR=$(PROJECT_ROOT)/boards/$(FPGA_VENDOR)/$(BOARD_NAME)
56
 
57
# Export BOARD_PATH for the software makefiles
58
BOARD=$(FPGA_VENDOR)/$(BOARD_NAME)
59
export BOARD
60
 
61
# Paths to other important parts of this test suite
62
COMMON_RTL_DIR = $(PROJECT_ROOT)/rtl
63
COMMON_RTL_VERILOG_DIR = $(COMMON_RTL_DIR)/verilog
64
#COMMON_RTL_VHDL_DIR = $(COMMON_RTL_DIR)/vhdl
65
 
66
BOARD_RTL_DIR=$(BOARD_DIR)/rtl
67
BOARD_RTL_VERILOG_DIR=$(BOARD_RTL_DIR)/verilog
68
# Only 1 include path for board builds - their own!
69
BOARD_RTL_VERILOG_INCLUDE_DIR=$(BOARD_RTL_VERILOG_DIR)/include
70
 
71
BOARD_BENCH_DIR=$(BOARD_DIR)/bench
72
BOARD_BENCH_VERILOG_DIR=$(BOARD_BENCH_DIR)/verilog
73
BOARD_BENCH_VERILOG_INCLUDE_DIR=$(BOARD_BENCH_VERILOG_DIR)/include
74
 
75
COMMON_BENCH_DIR=$(PROJECT_ROOT)
76
COMMON_BENCH_VERILOG_DIR=$(COMMON_BENCH_DIR)/verilog
77
COMMON_BENCH_VERILOG_INCLUDE_DIR=$(COMMON_BENCH_VERILOG_DIR)/include
78
 
79
# Top level files for DUT and testbench
80
DUT_TOP=$(BOARD_RTL_VERILOG_DIR)/$(DESIGN_NAME)_top/$(DESIGN_NAME)_top.v
81
BENCH_TOP=$(BOARD_BENCH_VERILOG_DIR)/$(DESIGN_NAME)_testbench.v
82
 
83
# Software tests we'll run
84
 
85
# Need this for individual test variables to not break
86
TEST ?= or1200-simple
87
 
88
TESTS ?= or1200-simple or1200-cbasic or1200-dctest or1200-float or1200-mmu or1200-basic or1200-except or1200-tick or1200-ticksyscall or1200ft-parity
89
 
90
# Gets turned into verilog `define
91
SIM_TYPE=RTL
92
 
93
# Main defines file is from board include path
94
PROJECT_VERILOG_DEFINES=$(BOARD_RTL_VERILOG_INCLUDE_DIR)/$(DESIGN_NAME)-defines.v
95
 
96
# Detect technology to use for the simulation
97
DESIGN_DEFINES=$(shell cat $(PROJECT_VERILOG_DEFINES) | sed s://.*::g | sed s:\`:\#:g | sed 's:^[ ]*::' | awk '{print};/^\#define/{printf "_%s=%s\n",$$2,$$2}' | grep -v PERIOD | cpp -P | sed s:^_::g | sed s:=$$::g )
98
 
99
# Rule to look at what defines are being extracted from main file
100
print-defines:
101
        @echo echo; echo "\t### Design defines ###"; echo;
102
        @echo "\tParsing "$(PROJECT_VERILOG_DEFINES)" and exporting:"
103
        @echo $(DESIGN_DEFINES)
104
 
105
print-tests:
106
        @echo; echo; echo "\t### Software tests to be run ###"; echo;
107
        @echo $(TESTS)
108
        @echo
109
 
110
# Simulation directories
111
SIM_DIR ?=$(BOARD_DIR)/sim
112
RTL_SIM_DIR=$(SIM_DIR)
113
RTL_SIM_RUN_DIR=$(RTL_SIM_DIR)/run
114
RTL_SIM_BIN_DIR=$(RTL_SIM_DIR)/bin
115
RTL_SIM_RESULTS_DIR=$(RTL_SIM_DIR)/out
116
 
117
# Testbench paths
118
BOARD_BENCH_DIR=$(BOARD_DIR)/bench
119
BOARD_BENCH_VERILOG_DIR=$(BOARD_BENCH_DIR)/verilog
120
COMMON_BENCH_DIR=$(PROJECT_ROOT)/bench
121
COMMON_BENCH_VERILOG_DIR=$(COMMON_BENCH_DIR)/verilog
122
 
123
#BENCH_VHDL_DIR=$(BENCH_DIR)/vhdl
124
# No SystemC or Verilator support for this build
125
#BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
126
#BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
127
#BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
128
 
129
# Backend directories
130
# This one is the board build's backend dir.
131
BOARD_BACKEND_DIR=$(BOARD_DIR)/backend
132
BOARD_BACKEND_VERILOG_DIR=$(BOARD_BACKEND_DIR)/rtl/verilog
133
TECHNOLOGY_BACKEND_DIR=$(BOARD_DIR)/../backend
134
# This path is for the technology library
135
TECHNOLOGY_BACKEND_VERILOG_DIR=$(TECHNOLOGY_BACKEND_DIR)/rtl/verilog
136
 
137
# Synthesis directory for board
138
BOARD_SYN_DIR=$(BOARD_DIR)/syn/synplify
139
BOARD_SYN_OUT_DIR=$(BOARD_SYN_DIR)/out
140
 
141
# System software dir
142
COMMON_SW_DIR=$(PROJECT_ROOT)/sw
143
BOARD_SW_DIR=$(BOARD_DIR)/sw
144
 
145
 
146
# Suffix of file to check after each test for the string
147
TEST_OUT_FILE_SUFFIX=-general.log
148
TEST_OK_STRING=8000000d
149
 
150
# Dynamically generated verilog file defining configuration for various things
151
# Rule actually generating this is found in definesgen.inc file.
152
TEST_DEFINES_VLG=test-defines.v
153
# Set V=1 when calling make to enable verbose output
154
# mainly for debugging purposes.
155
ifeq ($(V), 1)
156
Q=
157
QUIET=
158
else
159
Q ?=@
160
QUIET=-quiet
161
endif
162
 
163
# Modelsim variables
164
MGC_VSIM=vsim
165
MGC_VLOG_COMP=vlog
166
MGC_VHDL_COMP=vcom
167
MODELSIM=modelsim
168
 
169
# Icarus variables
170
ICARUS_COMPILE=iverilog
171
ICARUS_RUN=vvp
172
ICARUS_SCRIPT=icarus.scr
173
ICARUS_SIM_EXE=vlogsim.elf
174
ICARUS=icarus
175
 
176
 
177
# Default simulator is Modelsim here as we're using the ProASIC3
178
# libraries which are not compilable with Icarus.
179
# Set SIMULATOR=modelsim to use Modelsim (Default)
180
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog - TODO
181
# Set SIMULATOR=icarus to use Icarus Verilog (Not supported for this board)
182
 
183
SIMULATOR ?= $(MODELSIM)
184
 
185
#
186
# Modelsim-specific settings
187
#
188
VOPT_ARGS=$(QUIET) -suppress 2241
189
# If VCD dump is desired, tell Modelsim not to optimise
190
# away everything.
191
ifeq ($(VCD), 1)
192
#VOPT_ARGS=-voptargs="+acc=rnp"
193
VOPT_ARGS=+acc=rnpqv
194
endif
195
# VSIM commands
196
# Suppressed warnings - 3009: Failed to open $readmemh() file
197
# Suppressed warnings - 3009: Module 'blah' does not have a `timescale directive in effect, but previous modules do.
198
# Suppressed warnings - 8598: Non-positive replication multiplier inside concat. Replication will be ignored
199
VSIM_ARGS=  -suppress 7 -suppress 3009 -suppress 8598 -c $(QUIET) -do "set StdArithNoWarnings 1; run -all; exit"
200
# Modelsim VPI settings
201
ifeq ($(VPI), 1)
202
VPI_LIBS=$(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
203
VSIM_ARGS += -pli $(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB)
204
endif
205
# Rule to make the VPI library for modelsim
206
$(VPI_SRC_C_DIR)/$(MODELTECH_VPILIB): $(VPI_SRCS)
207
        $(MAKE) -C $(VPI_SRC_C_DIR) $(MODELTECH_VPILIB)
208
 
209
#
210
# Verilog DUT source variables
211
#
212
 
213
# First consider any modules we'll use gatelevel descriptions of.
214
# These will have to be set on the command line
215
GATELEVEL_MODULES ?=
216
 
217
# First we get a list of modules in the RTL path of the board's path.
218
# Next we check which modules not in the board's RTL path are in the root RTL
219
# path (modules which can be commonly instantiated, but over which board
220
# build-specific versions take precedence.)
221
 
222
# Paths under board/***/rtl/verilog we wish to exclude when getting modules
223
BOARD_VERILOG_MODULES_EXCLUDE= include $(GATELEVEL_MODULES)
224
BOARD_VERILOG_MODULES_DIR_LIST=$(shell ls $(BOARD_RTL_VERILOG_DIR))
225
# Apply exclude to list of modules
226
BOARD_RTL_VERILOG_MODULES=$(filter-out $(BOARD_VERILOG_MODULES_EXCLUDE),$(BOARD_VERILOG_MODULES_DIR_LIST))
227
 
228
# Rule for debugging this script
229
print-board-modules:
230
        @echo echo; echo "\t### Board verilog modules ###"; echo
231
        @echo $(BOARD_RTL_VERILOG_MODULES)
232
 
233
# Now get list of modules that we don't have a version of in the board path
234
COMMON_VERILOG_MODULES_EXCLUDE= include
235
COMMON_VERILOG_MODULES_EXCLUDE += $(BOARD_RTL_VERILOG_MODULES)
236
COMMON_VERILOG_MODULES_EXCLUDE += $(GATELEVEL_MODULES)
237
 
238
COMMON_RTL_VERILOG_MODULES_DIR_LIST=$(shell ls $(COMMON_RTL_VERILOG_DIR))
239
COMMON_RTL_VERILOG_MODULES=$(filter-out $(COMMON_VERILOG_MODULES_EXCLUDE), $(COMMON_RTL_VERILOG_MODULES_DIR_LIST))
240
 
241
 
242
# Add these to exclude their RTL directories from being included in scripts
243
 
244
 
245
 
246
# Rule for debugging this script
247
print-common-modules-exclude:
248
        @echo echo; echo "\t### Common verilog modules being excluded due to board versions ###"; echo
249
        @echo "$(COMMON_VERILOG_MODULES_EXCLUDE)"
250
 
251
print-common-modules:
252
        @echo echo; echo "\t###  Verilog modules from common RTL dir ###"; echo
253
        @echo $(COMMON_RTL_VERILOG_MODULES)
254
 
255
# List of verilog source files (only .v files!)
256
# Board RTL modules first
257
RTL_VERILOG_SRC=$(shell for module in $(BOARD_RTL_VERILOG_MODULES); do if [ -d $(BOARD_RTL_VERILOG_DIR)/$$module ]; then ls $(BOARD_RTL_VERILOG_DIR)/$$module/*.v; fi; done)
258
# Common RTL module source
259
RTL_VERILOG_SRC +=$(shell for module in $(COMMON_RTL_VERILOG_MODULES); do if [ -d $(COMMON_RTL_VERILOG_DIR)/$$module ]; then ls $(COMMON_RTL_VERILOG_DIR)/$$module/*.v; fi; done)
260
 
261
# List of verilog includes from board RTL path - only for rule sensitivity
262
RTL_VERILOG_INCLUDES=$(shell ls $(BOARD_RTL_VERILOG_INCLUDE_DIR)/*.*)
263
 
264
print-verilog-src:
265
        @echo echo; echo "\t### Verilog source ###"; echo
266
        @echo $(RTL_VERILOG_SRC)
267
 
268
# Rules to make RTL we might need
269
# Expects modules, if they need making, to have their top verilog file to
270
# correspond to their module name, and the directory should have a make file
271
# and rule which works for this command.
272
# Add name of module to this list, currently only does verilog ones.
273
# Rule 'rtl' is called just before generating DUT modelsim compilation script
274
RTL_TO_CHECK=
275
rtl:
276
        $(Q)for module in $(RTL_TO_CHECK); do \
277
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module $$module.v; \
278
        done
279
 
280
#
281
# VHDL DUT source variables
282
#
283
# VHDL modules
284
#RTL_VHDL_MODULES=$(shell ls $(RTL_VHDL_DIR))
285
# VHDL sources
286
#RTL_VHDL_SRC=$(shell for module in $(RTL_VHDL_MODULES); do if [ -d $(RTL_VHDL_DIR)/$$module ]; then ls $(RTL_VHDL_DIR)/$$module/*.vhd; fi; done)
287
#print-vhdl-src:
288
#       @echo echo; echo "\t### VHDL modules and source ###"; echo
289
#       @echo "modules: "; echo $(RTL_VHDL_MODULES); echo
290
#       @echo "source: "$(RTL_VHDL_SRC)
291
 
292
#
293
# Testbench source
294
#
295
BOARD_BENCH_VERILOG_SRC=$(shell ls $(BOARD_BENCH_VERILOG_DIR)/*.v | grep -v $(DESIGN_NAME)_testbench )
296
BOARD_BENCH_VERILOG_SRC_FILES=$(notdir $(BOARD_BENCH_VERILOG_SRC))
297
 
298
# Now only take the source from the common path that we don't already have in
299
# our board's
300
COMMON_BENCH_VERILOG_DIR_LS=$(shell ls $(COMMON_BENCH_VERILOG_DIR)/*.v)
301
COMMON_BENCH_VERILOG_SRC_FILES=$(notdir $(COMMON_BENCH_VERILOG_DIR_LS))
302
COMMON_BENCH_VERILOG_SRC_FILTERED=$(filter-out $(BOARD_BENCH_VERILOG_SRC_FILES) $(DESIGN_NAME)_testbench.v,$(COMMON_BENCH_VERILOG_SRC_FILES))
303
COMMON_BENCH_VERILOG_SRC=$(addprefix $(COMMON_BENCH_VERILOG_DIR)/, $(COMMON_BENCH_VERILOG_SRC_FILTERED))
304
 
305
print-board-bench-src:
306
        $(Q)echo "\tBoard bench verilog source"; \
307
        echo $(BOARD_BENCH_VERILOG_SRC)
308
 
309
print-common-bench-src:
310
        $(Q)echo "\Common bench verilog source"; \
311
        echo $(COMMON_BENCH_VERILOG_SRC)
312
 
313
# Testbench source subdirectory detection (exclude include, we always use
314
# board bench include directory!)
315
BOARD_BENCH_VERILOG_SUBDIRS=$(shell cd $(BOARD_BENCH_VERILOG_DIR) && ls -d */ | grep -v include)
316
COMMON_BENCH_VERILOG_SUBDIRS=$(shell cd $(COMMON_BENCH_VERILOG_DIR) && ls -d */ | grep -v include)
317
 
318
# Get rid of ones we have a copy of locally
319
COMMON_BENCH_VERILOG_SUBDIRS_EXCLUDE_BOARDS=$(filter-out $(BOARD_BENCH_VERILOG_SUBDIRS),$(COMMON_BENCH_VERILOG_SUBDIRS))
320
 
321
# Construct list of paths we will want to include
322
BENCH_VERILOG_SUBDIRS=$(addprefix $(COMMON_BENCH_VERILOG_DIR)/,$(COMMON_BENCH_VERILOG_SUBDIRS_EXCLUDE_BOARDS))
323
BENCH_VERILOG_SUBDIRS += $(addprefix $(BOARD_BENCH_VERILOG_DIR)/,$(BOARD_BENCH_VERILOG_SUBDIRS))
324
 
325
# Finally, add include path from local bench path
326
BENCH_VERILOG_SUBDIRS += $(BOARD_BENCH_VERILOG_DIR)/include
327
 
328
print-board-bench-subdirs:
329
        $(Q)echo "\tBoard bench subdirectories"; \
330
        echo $(BOARD_BENCH_VERILOG_SUBDIRS)
331
 
332
print-common-bench-subdirs:
333
        $(Q)echo "\tCommon bench subdirectories"; \
334
        echo $(COMMON_BENCH_VERILOG_SUBDIRS)
335
 
336
print-bench-subdirs:
337
        $(Q)echo "\tBench subdirectories"; \
338
        echo $(BENCH_VERILOG_SUBDIRS)
339
 
340
 
341
# Backend technology library files
342
# We don't do this for the board backend stuff - that should all be properly
343
# named, and so we only need to pass the "-y" option for that path.
344
BACKEND_TECHNOLOGY_VERILOG_SRC=$(shell ls $(TECHNOLOGY_BACKEND_VERILOG_DIR)/*.v )
345
BOARD_BACKEND_VERILOG_SRC=$(shell ls $(BOARD_BACKEND_VERILOG_DIR)/*.v )
346
 
347
#
348
# Compile script generation rules:
349
#
350
 
351
# Modelsim library compilation rules
352
 
353
# Backend script generation - make these rules sensitive to source and includes
354
modelsim_backend.scr: $(BOARD_BACKEND_VERILOG_SRC)
355
        $(Q)echo "+incdir+"$(TECHNOLOGY_BACKEND_VERILOG_DIR) > $@;
356
        $(Q)echo "-y " $(BOARD_BACKEND_VERILOG_DIR) >> $@
357
        $(Q)echo "+libext+.v" >> $@;
358
        $(Q)for vsrc in $(BACKEND_TECHNOLOGY_VERILOG_SRC); do echo $$vsrc >> $@; done
359
        $(Q)echo >> $@;
360
 
361
# DUT compile script
362
modelsim_dut.scr: rtl $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES)
363
        $(Q)echo "+incdir+"$(BOARD_RTL_VERILOG_INCLUDE_DIR) > $@;
364
        $(Q)echo "+incdir+"$(BOARD_BENCH_VERILOG_INCLUDE_DIR) >> $@;
365
        $(Q)echo "+libext+.v" >> $@;
366
        $(Q)for module in $(BOARD_RTL_VERILOG_MODULES); do if [ -d $(BOARD_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(BOARD_RTL_VERILOG_DIR)/$$module >> $@; fi; done
367
        $(Q)for module in $(COMMON_RTL_VERILOG_MODULES); do if [ -d $(COMMON_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(COMMON_RTL_VERILOG_DIR)/$$module >> $@; fi; done
368
        $(Q)echo "-y " $(BOARD_BACKEND_VERILOG_DIR) >> $@;
369
        $(Q)if [ ! -z "$$GATELEVEL_MODULES" ]; \
370
                then echo "-y " $(BOARD_SYN_OUT_DIR) >> $@; \
371
                echo "+libext+.vm" >> $@; \
372
        fi
373
        $(Q)echo >> $@
374
 
375
modelsim_bench.scr: $(BOARD_BENCH_VERILOG_SRC) $(COMMON_BENCH_VERILOG_SRC)
376
        $(Q)echo "+incdir+"$(BOARD_BENCH_VERILOG_INCLUDE_DIR) > $@;
377
        $(Q)echo "+incdir+"$(COMMON_BENCH_VERILOG_INCLUDE_DIR) >> $@;
378
        $(Q)for path in $(BENCH_VERILOG_SUBDIRS); do echo "+incdir+"$$path >> $@; done
379
        $(Q)for path in $(BENCH_VERILOG_SUBDIRS); do echo "-y "$$path >> $@; done
380
        $(Q)echo "+incdir+"$(BOARD_RTL_VERILOG_INCLUDE_DIR) >> $@;
381
        $(Q)echo "+libext+.v" >> $@;
382
        $(Q)for vsrc in $(BOARD_BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
383
        $(Q)for vsrc in $(COMMON_BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
384
        $(Q)echo >> $@
385
 
386
# Modelsim library compilation rules
387
#BACKEND_LIB=lib_backend
388
BACKEND_LIB=
389
#$(BACKEND_LIB): modelsim_backend.scr
390
#       $(Q)if [ ! -e $@ ]; then vlib $@; fi
391
#       $(Q)echo; echo "\t### Compiling backend library ###"; echo
392
#       $(Q)vlog -nologo $(QUIET) -work $@ -f $<
393
 
394
# Compile DUT into "work" library
395
work: modelsim_dut.scr
396
        $(Q)if [ ! -e $@ ]; then vlib $@; fi
397
        $(Q)echo; echo "\t### Compiling Verilog design library ###"; echo
398
        $(Q)vlog $(QUIET) -f $< $(DUT_TOP)
399
 
400
# Single compile rule
401
.PHONY : $(MODELSIM)
402
$(MODELSIM): modelsim_bench.scr $(TEST_DEFINES_VLG) $(BACKEND_LIB) $(VPI_LIBS) \
403
        work
404
        $(Q)echo; echo "\t### Compiling testbench ###"; echo
405
        $(Q)vlog $(QUIET) -nologo -incr $(BENCH_TOP) -f $<
406
#       $(Q)vopt $(QUIET) $(RTL_TESTBENCH_TOP) $(VOPT_ARGS) -L $(BACKEND_LIB) \
407
        -o tb
408
        $(Q)vopt $(QUIET) $(RTL_TESTBENCH_TOP) $(VOPT_ARGS) -o tb
409
        $(Q)echo; echo "\t### Launching simulation ###"; echo
410
        $(Q)vsim $(VSIM_ARGS) tb
411
 
412
#
413
# Icarus Verilog simulator build and run rules
414
#
415
.PHONY: $(ICARUS_SCRIPT)
416
$(ICARUS_SCRIPT): $(BOARD_BENCH_VERILOG_SRC) $(COMMON_BENCH_VERILOG_SRC) \
417
        $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES)
418
        $(Q)echo "# Icarus Verilog simulation script" > $@
419
        $(Q)echo "# Auto generated. Any alterations will be written over!" >> $@
420
        $(Q)echo "+incdir+"$(BOARD_RTL_VERILOG_INCLUDE_DIR) >> $@;
421
        $(Q)echo "+libext+.v" >> $@;
422
        $(Q)for module in $(BOARD_RTL_VERILOG_MODULES); do if [ -d $(BOARD_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(BOARD_RTL_VERILOG_DIR)/$$module >> $@; fi; done
423
        $(Q)for module in $(COMMON_RTL_VERILOG_MODULES); do if [ -d $(COMMON_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(COMMON_RTL_VERILOG_DIR)/$$module >> $@; fi; done
424
        $(Q)echo "-y " $(BOARD_BACKEND_VERILOG_DIR) >> $@;
425
        $(Q)echo "+incdir+"$(BOARD_BENCH_VERILOG_INCLUDE_DIR) >> $@;
426
        $(Q)echo "+incdir+"$(COMMON_BENCH_VERILOG_INCLUDE_DIR) >> $@;
427
        $(Q)for path in $(BENCH_VERILOG_SUBDIRS); do echo "+incdir+"$$path >> $@; done
428
        $(Q)for path in $(BENCH_VERILOG_SUBDIRS); do echo "-y "$$path >> $@; done
429
        $(Q)for vsrc in $(BOARD_BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
430
        $(Q)for vsrc in $(COMMON_BENCH_VERILOG_SRC); do echo $$vsrc >> $@; done
431
        $(Q)echo $(BENCH_TOP) >> $@;
432
        $(Q) echo >> $@
433
 
434
# Icarus design compilation rule
435
$(ICARUS_SIM_EXE): $(ICARUS_SCRIPT) $(TEST_DEFINES_VLG)
436
        $(Q)echo; echo "\t### Compiling ###"; echo
437
        $(Q) $(ICARUS_COMPILE) -s$(RTL_TESTBENCH_TOP) -c $< -o $@
438
 
439
# Icarus simulation run rule
440
$(ICARUS): $(ICARUS_SIM_EXE) $(ICARUS_VPI_LIB)
441
        $(Q)echo; echo "\t### Launching simulation ###"; echo
442
        $(Q) $(ICARUS_RUN) $(ICARUS_VPI_ARGS) -l ../out/$(ICARUS_RUN).log $<
443
 
444
 
445
.PHONY: rtl-test
446
rtl-test: clean-sim-test-sw sw clean-test-defines $(TEST_DEFINES_VLG) \
447
        $(SIMULATOR)
448
 
449
# Run an RTL test followed by checking of generated results
450
rtl-test-with-check: rtl-test
451
        $(Q)$(MAKE) check-test-log; \
452
        if [ $$? -ne 0 ]; then \
453
                echo; echo "\t### "$(TEST)" test FAIL ###"; echo; \
454
        else \
455
                echo; echo "\t### "$(TEST)" test OK ###"; echo; \
456
        fi
457
 
458
# Do check, don't print anything out
459
rtl-test-with-check-no-print: rtl-test check-test-log
460
 
461
# Main RTL test loop
462
rtl-tests:
463
        $(Q)for test in $(TESTS); do \
464
                export TEST=$$test; \
465
                $(MAKE) rtl-test-with-check-no-print; \
466
                if [ $$? -ne 0 ]; then break; fi; \
467
                echo; echo "\t### $$test test OK ###"; echo; \
468
        done
469
 
470
 
471
.PHONY: check-test-log
472
check-test-log:
473
        $(Q)echo "#!/bin/bash" > $@
474
        $(Q)echo "function check-test-log { if [ \`grep -c -i "$(TEST_OK_STRING)" "$(RTL_SIM_RESULTS_DIR)"/"$(TEST)$(TEST_OUT_FILE_SUFFIX)"\` -gt 0 ]; then return 0; else return 1; fi; }" >> $@
475
        $(Q)echo "check-test-log" >> $@
476
        $(Q)chmod +x $@
477
        $(Q) echo; echo "\t### Checking simulation results for "$(TEST)" test ###"; echo;
478
        $(Q)./$@
479
 
480
# Include the test-defines.v generation rule
481
include $(PROJECT_ROOT)/sim/bin/definesgen.inc
482
 
483
#
484
# Software make rules (called recursively)
485
#
486
 
487
# Path for the current test
488
# First check for a local copy of the test. If it doesn't exist then we
489
# default to the software tests in the root directory
490
TEST_MODULE=$(shell echo $(TEST) | cut -d "-" -f 1)
491
BOARD_SW_TEST_DIR=$(BOARD_SW_DIR)/tests/$(TEST_MODULE)/sim
492
COMMON_SW_TEST_DIR=$(COMMON_SW_DIR)/tests/$(TEST_MODULE)/sim
493
# Do this by testing for the file's existence
494
SW_TEST_DIR=$(shell if [ -e $(BOARD_SW_TEST_DIR)/$(TEST).[cS] ]; then echo $(BOARD_SW_TEST_DIR); else echo $(COMMON_SW_TEST_DIR); fi)
495
 
496
print-test-sw-dir:
497
        @echo; echo "\tTest software is in the following path"; echo;
498
        @echo $(BOARD_SW_DIR); echo;
499
        @echo $(BOARD_SW_TEST_DIR); echo;
500
        @echo $(SW_TEST_DIR); echo;
501
 
502
print-sw-tests:
503
        $(Q) $(MAKE) -C $(COMMON_SW_DIR)/lib print-sw-tests
504
        $(Q) $(MAKE) -C $(COMMON_SW_DIR)/lib print-sw-tests-subdirs
505
 
506
 
507
# Name of the image the RAM model will attempt to load via Verilog $readmemh
508
# system function.
509
 
510
SIM_SW_IMAGE ?=sram.vmem
511
 
512
.PHONY : sw
513
sw: $(SIM_SW_IMAGE)
514
 
515
sram.vmem: $(SW_TEST_DIR)/$(TEST).vmem
516
        $(Q)if [ -L $@ ]; then unlink $@; fi
517
        $(Q)ln -s $< $@
518
 
519
.PHONY: $(SW_TEST_DIR)/$(TEST).flashin
520
$(SW_TEST_DIR)/$(TEST).flashin:
521
        $(Q) echo; echo "\t### Compiling software ###"; echo;
522
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).flashin
523
 
524
.PHONY: $(SW_TEST_DIR)/$(TEST).vmem
525
$(SW_TEST_DIR)/$(TEST).vmem:
526
        $(Q) echo; echo "\t### Compiling software ###"; echo;
527
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).vmem
528
 
529
# Create test software disassembly
530
 
531
sw-dis: $(SW_TEST_DIR)/$(TEST).dis
532
        $(Q)cp -v $< .
533
 
534
$(SW_TEST_DIR)/$(TEST).dis:
535
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).dis
536
 
537
#
538
# Cleaning rules
539
#
540
clean: clean-sim clean-sim-test-sw clean-out clean-sw
541
 
542
clean-sim:
543
        $(Q) echo; echo "\t### Cleaning simulation run directory ###"; echo;
544
        $(Q)rm -rf *.* lib_* work transcript check-test-log
545
# No VPI support for now.       $(Q) if [ -e $(VPI_SRC_C_DIR) ]; then $(MAKE) -C $(VPI_SRC_C_DIR) clean; fi
546
 
547
clean-out:
548
        $(Q)rm -rf $(RTL_SIM_RESULTS_DIR)/*.*
549
 
550
clean-test-defines:
551
        $(Q)rm -f $(TEST_DEFINES_VLG)
552
 
553
clean-sim-test-sw:
554
        $(Q)if [ -e $(SIM_SW_IMAGE) ]; then unlink $(SIM_SW_IMAGE); fi
555
 
556
clean-sw:
557
        $(Q) echo; echo "\t### Cleaning simulation sw directories ###"; echo;
558
        $(Q) $(MAKE) -C $(COMMON_SW_DIR)/lib distclean
559
 
560
clean-rtl:
561
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
562
        for module in $(RTL_TO_CHECK); do \
563
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module clean; \
564
        done
565
 
566
# Removes any checked out RTL
567
distclean: clean
568
        $(Q) echo; echo "\t### Cleaning generated verilog RTL ###"; echo;
569
        $(Q)for module in $(RTL_TO_CHECK); do \
570
                $(MAKE) -C $(RTL_VERILOG_DIR)/$$module distclean; \
571
        done

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.