OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_cache.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2011 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// You must compile the wrapper file s3adsp_ddr2_cache.v when simulating
30
// the core, s3adsp_ddr2_cache. When compiling the wrapper file, be sure to
31
// reference the XilinxCoreLib Verilog simulation library. For detailed
32
// instructions, please refer to the "CORE Generator Help".
33
 
34
// The synthesis directives "translate_off/translate_on" specified below are
35
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
36
// tools. Ensure they are correct for your synthesis tool(s).
37
 
38
`timescale 1ns/1ps
39
 
40
module s3adsp_ddr2_cache(
41
  clka,
42
  ena,
43
  wea,
44
  addra,
45
  dina,
46
  douta,
47
  clkb,
48
  enb,
49
  web,
50
  addrb,
51
  dinb,
52
  doutb
53
);
54
 
55
input clka;
56
input ena;
57
input [3 : 0] wea;
58
input [9 : 0] addra;
59
input [31 : 0] dina;
60
output [31 : 0] douta;
61
input clkb;
62
input enb;
63
input [7 : 0] web;
64
input [8 : 0] addrb;
65
input [63 : 0] dinb;
66
output [63 : 0] doutb;
67
 
68
// synthesis translate_off
69
 
70
  BLK_MEM_GEN_V6_1 #(
71
    .C_ADDRA_WIDTH(10),
72
    .C_ADDRB_WIDTH(9),
73
    .C_ALGORITHM(1),
74
    .C_AXI_ID_WIDTH(4),
75
    .C_AXI_SLAVE_TYPE(0),
76
    .C_AXI_TYPE(1),
77
    .C_BYTE_SIZE(8),
78
    .C_COMMON_CLK(0),
79
    .C_DEFAULT_DATA("0"),
80
    .C_DISABLE_WARN_BHV_COLL(1),
81
    .C_DISABLE_WARN_BHV_RANGE(0),
82
    .C_FAMILY("spartan3"),
83
    .C_HAS_AXI_ID(0),
84
    .C_HAS_ENA(1),
85
    .C_HAS_ENB(1),
86
    .C_HAS_INJECTERR(0),
87
    .C_HAS_MEM_OUTPUT_REGS_A(0),
88
    .C_HAS_MEM_OUTPUT_REGS_B(0),
89
    .C_HAS_MUX_OUTPUT_REGS_A(0),
90
    .C_HAS_MUX_OUTPUT_REGS_B(0),
91
    .C_HAS_REGCEA(0),
92
    .C_HAS_REGCEB(0),
93
    .C_HAS_RSTA(0),
94
    .C_HAS_RSTB(0),
95
    .C_HAS_SOFTECC_INPUT_REGS_A(0),
96
    .C_HAS_SOFTECC_OUTPUT_REGS_B(0),
97
    .C_INIT_FILE_NAME("no_coe_file_loaded"),
98
    .C_INITA_VAL("0"),
99
    .C_INITB_VAL("0"),
100
    .C_INTERFACE_TYPE(0),
101
    .C_LOAD_INIT_FILE(0),
102
    .C_MEM_TYPE(2),
103
    .C_MUX_PIPELINE_STAGES(0),
104
    .C_PRIM_TYPE(1),
105
    .C_READ_DEPTH_A(1024),
106
    .C_READ_DEPTH_B(512),
107
    .C_READ_WIDTH_A(32),
108
    .C_READ_WIDTH_B(64),
109
    .C_RST_PRIORITY_A("CE"),
110
    .C_RST_PRIORITY_B("CE"),
111
    .C_RST_TYPE("SYNC"),
112
    .C_RSTRAM_A(0),
113
    .C_RSTRAM_B(0),
114
    .C_SIM_COLLISION_CHECK("NONE"),
115
    .C_USE_BYTE_WEA(1),
116
    .C_USE_BYTE_WEB(1),
117
    .C_USE_DEFAULT_DATA(0),
118
    .C_USE_ECC(0),
119
    .C_USE_SOFTECC(0),
120
    .C_WEA_WIDTH(4),
121
    .C_WEB_WIDTH(8),
122
    .C_WRITE_DEPTH_A(1024),
123
    .C_WRITE_DEPTH_B(512),
124
    .C_WRITE_MODE_A("WRITE_FIRST"),
125
    .C_WRITE_MODE_B("WRITE_FIRST"),
126
    .C_WRITE_WIDTH_A(32),
127
    .C_WRITE_WIDTH_B(64),
128
    .C_XDEVICEFAMILY("spartan3adsp")
129
  )
130
  inst (
131
    .CLKA(clka),
132
    .ENA(ena),
133
    .WEA(wea),
134
    .ADDRA(addra),
135
    .DINA(dina),
136
    .DOUTA(douta),
137
    .CLKB(clkb),
138
    .ENB(enb),
139
    .WEB(web),
140
    .ADDRB(addrb),
141
    .DINB(dinb),
142
    .DOUTB(doutb),
143
    .RSTA(),
144
    .REGCEA(),
145
    .RSTB(),
146
    .REGCEB(),
147
    .INJECTSBITERR(),
148
    .INJECTDBITERR(),
149
    .SBITERR(),
150
    .DBITERR(),
151
    .RDADDRECC(),
152
    .S_ACLK(),
153
    .S_ARESETN(),
154
    .S_AXI_AWID(),
155
    .S_AXI_AWADDR(),
156
    .S_AXI_AWLEN(),
157
    .S_AXI_AWSIZE(),
158
    .S_AXI_AWBURST(),
159
    .S_AXI_AWVALID(),
160
    .S_AXI_AWREADY(),
161
    .S_AXI_WDATA(),
162
    .S_AXI_WSTRB(),
163
    .S_AXI_WLAST(),
164
    .S_AXI_WVALID(),
165
    .S_AXI_WREADY(),
166
    .S_AXI_BID(),
167
    .S_AXI_BRESP(),
168
    .S_AXI_BVALID(),
169
    .S_AXI_BREADY(),
170
    .S_AXI_ARID(),
171
    .S_AXI_ARADDR(),
172
    .S_AXI_ARLEN(),
173
    .S_AXI_ARSIZE(),
174
    .S_AXI_ARBURST(),
175
    .S_AXI_ARVALID(),
176
    .S_AXI_ARREADY(),
177
    .S_AXI_RID(),
178
    .S_AXI_RDATA(),
179
    .S_AXI_RRESP(),
180
    .S_AXI_RLAST(),
181
    .S_AXI_RVALID(),
182
    .S_AXI_RREADY(),
183
    .S_AXI_INJECTSBITERR(),
184
    .S_AXI_INJECTDBITERR(),
185
    .S_AXI_SBITERR(),
186
    .S_AXI_DBITERR(),
187
    .S_AXI_RDADDRECC()
188
  );
189
 
190
// synthesis translate_on
191
 
192
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.