OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_cal_top.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
//*****************************************************************************
2
// DISCLAIMER OF LIABILITY
3
//
4
// This file contains proprietary and confidential information of
5
// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
// from Xilinx, and may be used, copied and/or disclosed only
7
// pursuant to the terms of a valid license agreement with Xilinx.
8
//
9
// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
// does not warrant that functions included in the Materials will
15
// meet the requirements of Licensee, or that the operation of the
16
// Materials will be uninterrupted or error-free, or that defects
17
// in the Materials will be corrected. Furthermore, Xilinx does
18
// not warrant or make any representations regarding use, or the
19
// results of the use, of the Materials in terms of correctness,
20
// accuracy, reliability or otherwise.
21
//
22
// Xilinx products are not designed or intended to be fail-safe,
23
// or for use in any application requiring fail-safe performance,
24
// such as life-support or safety devices or systems, Class III
25
// medical devices, nuclear facilities, applications related to
26
// the deployment of airbags, or any other applications that could
27
// lead to death, personal injury or severe property or
28
// environmental damage (individually and collectively, "critical
29
// applications"). Customer assumes the sole risk and liability
30
// of any use of Xilinx products in critical applications,
31
// subject only to applicable laws and regulations governing
32
// limitations on product liability.
33
//
34
// Copyright 2005, 2006, 2007 Xilinx, Inc.
35
// All rights reserved.
36
//
37
// This disclaimer and copyright notice must be retained as part
38
// of this file at all times.
39
//*****************************************************************************
40
//   ____  ____
41
//  /   /\/   /
42
// /___/  \  /   Vendor             : Xilinx
43
// \   \   \/    Version            : 3.6.1
44
//  \   \        Application        : MIG
45
//  /   /        Filename           : s3adsp_ddr2_cal_top.v
46
// /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:41 $
47
// \   \  /  \   Date Created       : Mon May 2 2005
48
//  \___\/\___\
49
// Device       : Spartan-3/3A/3A-DSP
50
// Design Name  : DDR2 SDRAM
51
// Purpose      : This module has the instantiations cal_ctl and tap_dly.
52
//*****************************************************************************
53
 
54
`timescale 1ns/100ps
55
 
56
(* X_CORE_INFO = "mig_v3_61_ddr2_sp3, Coregen 12.4" ,
57
   CORE_GENERATION_INFO = "ddr2_sp3,mig_v3_61,{component_name=ddr2_sp3, data_width=32, memory_width=8, clk_width=2, bank_address=2, row_address=13, column_address=10, no_of_cs=1, cke_width=1, registered=0, data_mask=1, mask_enable=1, load_mode_register=13'b0010100110011, ext_load_mode_register=13'b0000000000000, language=Verilog, synthesis_tool=ISE, interface_type=DDR2_SDRAM, no_of_controllers=1}" *)
58
 module s3adsp_ddr2_cal_top
59
   (
60
    input        clk0,
61
    input        clk0dcmlock,
62
    input        reset,
63
    output [4:0] tapfordqs,
64
   // debug signals
65
    output [4:0] dbg_phase_cnt,
66
    output [5:0] dbg_cnt,
67
    output       dbg_trans_onedtct,
68
    output       dbg_trans_twodtct,
69
    output       dbg_enb_trans_two_dtct
70
    );
71
 
72
   wire [31:0]    flop2_val;
73
   wire          fpga_rst;
74
 
75
   assign        fpga_rst = (~reset || ~clk0dcmlock);
76
 
77
   s3adsp_ddr2_cal_ctl cal_ctl0
78
     (
79
      .clk                    (clk0),
80
      .reset                  (fpga_rst),
81
      .flop2                  (flop2_val),
82
      .tapfordqs              (tapfordqs),
83
      .dbg_phase_cnt          (dbg_phase_cnt),
84
      .dbg_cnt                (dbg_cnt),
85
      .dbg_trans_onedtct      (dbg_trans_onedtct),
86
      .dbg_trans_twodtct      (dbg_trans_twodtct),
87
      .dbg_enb_trans_two_dtct (dbg_enb_trans_two_dtct)
88
      );
89
 
90
   s3adsp_ddr2_tap_dly tap_dly0
91
     (
92
      .clk      (clk0),
93
      .reset    (fpga_rst),
94
      .tapin    (clk0),
95
      .flop2    (flop2_val)
96
      );
97
 
98
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.