OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_dqs_delay.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
//*****************************************************************************
2
// DISCLAIMER OF LIABILITY
3
//
4
// This file contains proprietary and confidential information of
5
// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
// from Xilinx, and may be used, copied and/or disclosed only
7
// pursuant to the terms of a valid license agreement with Xilinx.
8
//
9
// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
// does not warrant that functions included in the Materials will
15
// meet the requirements of Licensee, or that the operation of the
16
// Materials will be uninterrupted or error-free, or that defects
17
// in the Materials will be corrected. Furthermore, Xilinx does
18
// not warrant or make any representations regarding use, or the
19
// results of the use, of the Materials in terms of correctness,
20
// accuracy, reliability or otherwise.
21
//
22
// Xilinx products are not designed or intended to be fail-safe,
23
// or for use in any application requiring fail-safe performance,
24
// such as life-support or safety devices or systems, Class III
25
// medical devices, nuclear facilities, applications related to
26
// the deployment of airbags, or any other applications that could
27
// lead to death, personal injury or severe property or
28
// environmental damage (individually and collectively, "critical
29
// applications"). Customer assumes the sole risk and liability
30
// of any use of Xilinx products in critical applications,
31
// subject only to applicable laws and regulations governing
32
// limitations on product liability.
33
//
34
// Copyright 2005, 2006, 2007 Xilinx, Inc.
35
// All rights reserved.
36
//
37
// This disclaimer and copyright notice must be retained as part
38
// of this file at all times.
39
//*****************************************************************************
40
//   ____  ____
41
//  /   /\/   /
42
// /___/  \  /   Vendor             : Xilinx
43
// \   \   \/    Version            : 3.6.1
44
//  \   \        Application        : MIG
45
//  /   /        Filename           : s3adsp_ddr2_dqs_delay.v
46
// /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:41 $
47
// \   \  /  \   Date Created       : Mon May 2 2005
48
//  \___\/\___\
49
// Device       : Spartan-3/3A/3A-DSP
50
// Design Name  : DDR2 SDRAM
51
// Purpose      : This module generates the delay in the dqs signal.
52
//*****************************************************************************
53
 
54
`timescale 1ns/100ps
55
module s3adsp_ddr2_dqs_delay
56
  (
57
   input       clk_in,
58
   input [4:0] sel_in,
59
   output      clk_out
60
   );
61
 
62
   wire delay1;
63
   wire delay2;
64
   wire delay3;
65
   wire delay4;
66
   wire delay5;
67
 
68
   localparam   HIGH = 1'b1;
69
 
70
   LUT4 #
71
     (
72
      .INIT (16'hf3c0)
73
      )
74
      one
75
        (
76
         .I0 (HIGH),
77
         .I1 (sel_in[4]),
78
         .I2 (delay5),
79
         .I3 (clk_in),
80
         .O  (clk_out)
81
         );
82
 
83
   LUT4 #
84
     (
85
      .INIT (16'hee22)
86
      )
87
     two
88
       (
89
        .I0 (clk_in),
90
        .I1 (sel_in[2]),
91
        .I2 (HIGH),
92
        .I3 (delay3),
93
        .O  (delay4)
94
        );
95
 
96
   LUT4 #
97
     (
98
      .INIT (16'he2e2)
99
      )
100
     three
101
       (
102
        .I0 (clk_in),
103
        .I1 (sel_in[0]),
104
        .I2 (delay1),
105
        .I3 (HIGH),
106
        .O  (delay2)
107
        );
108
 
109
   LUT4 #
110
     (
111
      .INIT (16'hff00)
112
      )
113
     four
114
       (
115
        .I0 (HIGH),
116
        .I1 (HIGH),
117
        .I2 (HIGH),
118
        .I3 (clk_in),
119
        .O (delay1)
120
        );
121
 
122
   LUT4 #
123
     (
124
      .INIT (16'hf3c0)
125
      )
126
     five
127
       (
128
        .I0 (HIGH),
129
        .I1 (sel_in[3]),
130
        .I2 (delay4),
131
        .I3 (clk_in),
132
        .O (delay5)
133
        );
134
 
135
   LUT4 #
136
     (
137
      .INIT (16'he2e2)
138
      )
139
     six
140
       (
141
        .I0 (clk_in),
142
        .I1 (sel_in[1]),
143
        .I2 (delay2),
144
        .I3 (HIGH),
145
        .O  (delay3)
146
        );
147
 
148
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.