OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_iobs_0.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
//*****************************************************************************
2
// DISCLAIMER OF LIABILITY
3
//
4
// This file contains proprietary and confidential information of
5
// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
// from Xilinx, and may be used, copied and/or disclosed only
7
// pursuant to the terms of a valid license agreement with Xilinx.
8
//
9
// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
// does not warrant that functions included in the Materials will
15
// meet the requirements of Licensee, or that the operation of the
16
// Materials will be uninterrupted or error-free, or that defects
17
// in the Materials will be corrected. Furthermore, Xilinx does
18
// not warrant or make any representations regarding use, or the
19
// results of the use, of the Materials in terms of correctness,
20
// accuracy, reliability or otherwise.
21
//
22
// Xilinx products are not designed or intended to be fail-safe,
23
// or for use in any application requiring fail-safe performance,
24
// such as life-support or safety devices or systems, Class III
25
// medical devices, nuclear facilities, applications related to
26
// the deployment of airbags, or any other applications that could
27
// lead to death, personal injury or severe property or
28
// environmental damage (individually and collectively, "critical
29
// applications"). Customer assumes the sole risk and liability
30
// of any use of Xilinx products in critical applications,
31
// subject only to applicable laws and regulations governing
32
// limitations on product liability.
33
//
34
// Copyright 2005, 2006, 2007 Xilinx, Inc.
35
// All rights reserved.
36
//
37
// This disclaimer and copyright notice must be retained as part
38
// of this file at all times.
39
//*****************************************************************************
40
//   ____  ____
41
//  /   /\/   /
42
// /___/  \  /   Vendor             : Xilinx
43
// \   \   \/    Version            : 3.6.1
44
//  \   \        Application        : MIG
45
//  /   /        Filename           : s3adsp_ddr2_iobs_0.v
46
// /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:41 $
47
// \   \  /  \   Date Created       : Mon May 2 2005
48
//  \___\/\___\
49
// Device       : Spartan-3/3A/3A-DSP
50
// Design Name  : DDR2 SDRAM
51
// Purpose      : This module has the instantiations infrastructure_ios, 
52
//                data_path_iobs and controller_iobs modules
53
//*****************************************************************************
54
 
55
`include "s3adsp_ddr2_parameters_0.v"
56
`timescale 1ns/100ps
57
 
58
(* X_CORE_INFO = "mig_v3_61_ddr2_sp3, Coregen 12.4" ,
59
   CORE_GENERATION_INFO = "ddr2_sp3,mig_v3_61,{component_name=ddr2_sp3, data_width=32, memory_width=8, clk_width=2, bank_address=2, row_address=13, column_address=10, no_of_cs=1, cke_width=1, registered=0, data_mask=1, mask_enable=1, load_mode_register=13'b0010100110011, ext_load_mode_register=13'b0000000000000, language=Verilog, synthesis_tool=ISE, interface_type=DDR2_SDRAM, no_of_controllers=1}" *)
60
module s3adsp_ddr2_iobs_0
61
  (
62
   input                              clk,
63
   input                              clk90,
64
   input                              ddr_rasb_cntrl,
65
   input                              ddr_casb_cntrl,
66
   input                              ddr_web_cntrl,
67
   input                              ddr_cke_cntrl,
68
   input                              ddr_csb_cntrl,
69
   input                              ddr_odt_cntrl,
70
   input [(`ROW_ADDRESS-1):0]         ddr_address_cntrl,
71
   input [(`BANK_ADDRESS-1):0]        ddr_ba_cntrl,
72
   input                              rst_dqs_div_int,
73
   input                              dqs_reset,
74
   input                              dqs_enable,
75
   inout [((`DATA_STROBE_WIDTH)-1):0] ddr_dqs,
76
   inout [(`DATA_STROBE_WIDTH-1):0]   ddr_dqs_n,
77
   inout [(`DATA_WIDTH-1):0]          ddr_dq,
78
   input [(`DATA_WIDTH-1):0]          write_data_falling,
79
   input [(`DATA_WIDTH-1):0]          write_data_rising,
80
   input                              write_en_val,
81
   input [((`DATA_MASK_WIDTH)-1):0]   data_mask_f,
82
   input [((`DATA_MASK_WIDTH)-1):0]   data_mask_r,
83
   output [(`CLK_WIDTH-1):0]          ddr2_ck,
84
   output [(`CLK_WIDTH-1):0]          ddr2_ck_n,
85
   output                             ddr_rasb,
86
   output                             ddr_casb,
87
   output                             ddr_web,
88
   output [(`BANK_ADDRESS-1):0]       ddr_ba,
89
   output [(`ROW_ADDRESS-1):0]        ddr_address,
90
   output                             ddr_cke,
91
   output                             ddr_csb,
92
   output                             ddr_odt0,
93
   output                             rst_dqs_div,
94
   input                              rst_dqs_div_in,
95
   output                             rst_dqs_div_out,
96
   output [(`DATA_STROBE_WIDTH-1):0]  dqs_int_delay_in,
97
   output [((`DATA_MASK_WIDTH)-1):0]  ddr_dm,
98
   output [((`DATA_WIDTH)-1):0]       dq
99
   );
100
   s3adsp_ddr2_infrastructure_iobs_0 infrastructure_iobs0
101
     (
102
      .ddr2_ck   (ddr2_ck),
103
      .ddr2_ck_n (ddr2_ck_n),
104
      .clk0      (clk)
105
      );
106
 
107
   s3adsp_ddr2_controller_iobs_0 controller_iobs0
108
     (
109
      .clk0              (clk),
110
      .ddr_rasb_cntrl    (ddr_rasb_cntrl),
111
      .ddr_casb_cntrl    (ddr_casb_cntrl),
112
      .ddr_web_cntrl     (ddr_web_cntrl),
113
      .ddr_cke_cntrl     (ddr_cke_cntrl),
114
      .ddr_csb_cntrl     (ddr_csb_cntrl),
115
      .ddr_odt_cntrl     (ddr_odt_cntrl),
116
      .ddr_address_cntrl (ddr_address_cntrl),
117
      .ddr_ba_cntrl      (ddr_ba_cntrl),
118
      .rst_dqs_div_int   (rst_dqs_div_int),
119
      .ddr_rasb          (ddr_rasb),
120
      .ddr_casb          (ddr_casb),
121
      .ddr_web           (ddr_web),
122
      .ddr_ba            (ddr_ba),
123
      .ddr_address       (ddr_address),
124
      .ddr_cke           (ddr_cke),
125
      .ddr_csb           (ddr_csb),
126
      .ddr_odt0          (ddr_odt0),
127
      .rst_dqs_div       (rst_dqs_div),
128
      .rst_dqs_div_in    (rst_dqs_div_in),
129
      .rst_dqs_div_out   (rst_dqs_div_out)
130
      );
131
 
132
 
133
   s3adsp_ddr2_data_path_iobs_0 datapath_iobs0
134
     (
135
      .clk                (clk),
136
      .clk90              (clk90),
137
      .dqs_reset          (dqs_reset),
138
      .dqs_enable         (dqs_enable),
139
      .ddr_dqs            (ddr_dqs),
140
      .ddr_dqs_n          (ddr_dqs_n),
141
      .ddr_dq             (ddr_dq),
142
      .write_data_falling (write_data_falling),
143
      .write_data_rising  (write_data_rising),
144
      .write_en_val       (write_en_val),
145
      .data_mask_f        (data_mask_f),
146
      .data_mask_r        (data_mask_r),
147
      .dqs_int_delay_in   (dqs_int_delay_in),
148
      .ddr_dm             (ddr_dm),
149
      .ddr_dq_val         (dq)
150
      );
151
 
152
 
153
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.