OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_s3_dq_iob.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
//*****************************************************************************
2
// DISCLAIMER OF LIABILITY
3
//
4
// This file contains proprietary and confidential information of
5
// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
// from Xilinx, and may be used, copied and/or disclosed only
7
// pursuant to the terms of a valid license agreement with Xilinx.
8
//
9
// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
// does not warrant that functions included in the Materials will
15
// meet the requirements of Licensee, or that the operation of the
16
// Materials will be uninterrupted or error-free, or that defects
17
// in the Materials will be corrected. Furthermore, Xilinx does
18
// not warrant or make any representations regarding use, or the
19
// results of the use, of the Materials in terms of correctness,
20
// accuracy, reliability or otherwise.
21
//
22
// Xilinx products are not designed or intended to be fail-safe,
23
// or for use in any application requiring fail-safe performance,
24
// such as life-support or safety devices or systems, Class III
25
// medical devices, nuclear facilities, applications related to
26
// the deployment of airbags, or any other applications that could
27
// lead to death, personal injury or severe property or
28
// environmental damage (individually and collectively, "critical
29
// applications"). Customer assumes the sole risk and liability
30
// of any use of Xilinx products in critical applications,
31
// subject only to applicable laws and regulations governing
32
// limitations on product liability.
33
//
34
// Copyright 2005, 2006, 2007, 2008 Xilinx, Inc.
35
// All rights reserved.
36
//
37
// This disclaimer and copyright notice must be retained as part
38
// of this file at all times.
39
//*****************************************************************************
40
//   ____  ____
41
//  /   /\/   /
42
// /___/  \  /   Vendor             : Xilinx
43
// \   \   \/    Version            : 3.6.1
44
//  \   \        Application        : MIG
45
//  /   /        Filename           : s3adsp_ddr2_s3_dq_iob.v
46
// /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
// \   \  /  \   Date Created       : Mon May 2 2005
48
//  \___\/\___\
49
// Device       : Spartan-3/3A/3A-DSP
50
// Design Name  : DDR2 SDRAM
51
// Purpose      : This module instantiate DDR IOB output flip-flops, an
52
//                output buffer with registered tri-state, and an input buffer
53
//                for a single data/dq bit. The DDR IOB output flip-flops
54
//                are used to forward data to memory during a write.
55
//*****************************************************************************
56
 
57
 
58
`timescale 1ns/100ps
59
module s3adsp_ddr2_s3_dq_iob
60
  (
61
   inout  ddr_dq_inout,
62
   input  write_data_falling,
63
   input  write_data_rising,
64
   input  clk90,
65
   input  write_en_val,
66
   output read_data_in
67
   );
68
 
69
   localparam GND = 1'b0;
70
   localparam CLOCK_EN = 1'b1;
71
 
72
   wire ddr_en;
73
   wire ddr_dq_q;
74
   wire enable_b;
75
   wire write_data_rising1;
76
   wire write_data_falling1;
77
 
78
   assign enable_b = ~ write_en_val;
79
 
80
// # delays are used for simulation purpose(delta delay).
81
 
82
   assign #1 write_data_rising1  = write_data_rising;
83
 
84
   assign #1 write_data_falling1 = write_data_falling;
85
 
86
//Transmission data path
87
 
88
   FDDRRSE DDR_OUT
89
     (
90
      .Q  (ddr_dq_q),
91
      .C0 (~clk90),
92
      .C1 (clk90),
93
      .CE (CLOCK_EN),
94
      .D0 (write_data_rising1),
95
      .D1 (write_data_falling1),
96
      .R  (GND),
97
      .S  (GND)
98
      );
99
 
100
  (* IOB = "FORCE" *) FD DQ_T
101
     (
102
      .D   (enable_b),
103
      .C   (~clk90),
104
      .Q   (ddr_en)
105
      )/* synthesis syn_useioff = 1 */;
106
 
107
   OBUFT DQ_OBUFT
108
     (
109
      .I (ddr_dq_q),
110
      .T (ddr_en),
111
      .O (ddr_dq_inout)
112
      );
113
 
114
//Receive data path
115
 
116
   IBUF DQ_IBUF
117
     (
118
      .I (ddr_dq_inout),
119
      .O (read_data_in)
120
      );
121
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.