OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [s3adsp1800/] [rtl/] [verilog/] [xilinx_s3adsp_ddr2/] [s3adsp_ddr2_s3_dqs_iob.v] - Blame information for rev 568

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 568 julius
//*****************************************************************************
2
// DISCLAIMER OF LIABILITY
3
//
4
// This file contains proprietary and confidential information of
5
// Xilinx, Inc. ("Xilinx"), that is distributed under a license
6
// from Xilinx, and may be used, copied and/or disclosed only
7
// pursuant to the terms of a valid license agreement with Xilinx.
8
//
9
// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
10
// ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
11
// EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
12
// LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
13
// MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
14
// does not warrant that functions included in the Materials will
15
// meet the requirements of Licensee, or that the operation of the
16
// Materials will be uninterrupted or error-free, or that defects
17
// in the Materials will be corrected. Furthermore, Xilinx does
18
// not warrant or make any representations regarding use, or the
19
// results of the use, of the Materials in terms of correctness,
20
// accuracy, reliability or otherwise.
21
//
22
// Xilinx products are not designed or intended to be fail-safe,
23
// or for use in any application requiring fail-safe performance,
24
// such as life-support or safety devices or systems, Class III
25
// medical devices, nuclear facilities, applications related to
26
// the deployment of airbags, or any other applications that could
27
// lead to death, personal injury or severe property or
28
// environmental damage (individually and collectively, "critical
29
// applications"). Customer assumes the sole risk and liability
30
// of any use of Xilinx products in critical applications,
31
// subject only to applicable laws and regulations governing
32
// limitations on product liability.
33
//
34
// Copyright 2005, 2006, 2007, 2008 Xilinx, Inc.
35
// All rights reserved.
36
//
37
// This disclaimer and copyright notice must be retained as part
38
// of this file at all times.
39
//*****************************************************************************
40
//   ____  ____
41
//  /   /\/   /
42
// /___/  \  /   Vendor             : Xilinx
43
// \   \   \/    Version            : 3.6.1
44
//  \   \        Application        : MIG
45
//  /   /        Filename           : s3adsp_ddr2_s3_dqs_iob.v
46
// /___/   /\    Date Last Modified : $Date: 2010/11/26 18:25:42 $
47
// \   \  /  \   Date Created       : Mon May 2 2005
48
//  \___\/\___\
49
// Device       : Spartan-3/3A/3A-DSP
50
// Design Name  : DDR2 SDRAM
51
// Purpose      : This module instantiates DDR IOB output flip-flops, an
52
//               output buffer with registered tri-state, and an input buffer
53
//               for a single strobe/dqs bit. The DDR IOB output flip-flops
54
//               are used to forward strobe to memory during a write. During
55
//               a read, the output of the IBUF is routed to the internal
56
//               delay module, dqs_delay.
57
//*****************************************************************************
58
 
59
`timescale 1ns/100ps
60
module s3adsp_ddr2_s3_dqs_iob
61
  (
62
   input            clk,
63
   input            ddr_dqs_reset,
64
   input            ddr_dqs_enable,
65
   inout            ddr_dqs,
66
   inout  ddr_dqs_n,
67
   output           dqs
68
   );
69
 
70
   localparam VCC = 1'b1;
71
   localparam GND = 1'b0;
72
 
73
   wire dqs_q;
74
   wire ddr_dqs_enable1;
75
   wire ddr_dqs_enable_b;
76
   wire data1;
77
 
78
   assign ddr_dqs_enable_b = ~ddr_dqs_enable;
79
   assign data1 = (ddr_dqs_reset == 1'b1) ? 1'b0 : 1'b1;
80
 
81
 
82
  (* IOB = "FORCE" *) FD  U1
83
      (
84
       .D(ddr_dqs_enable_b),
85
       .Q(ddr_dqs_enable1),
86
       .C(clk)
87
       )/* synthesis syn_useioff = 1 */;
88
 
89
   FDDRRSE U2 (
90
             .Q(dqs_q),
91
             .C0(clk),
92
             .C1(~clk),
93
             .CE(VCC),
94
             .D0(data1),
95
             .D1(GND),
96
             .R(GND),
97
             .S(GND)
98
             );
99
 
100
 
101
 
102
 
103
//***********************************************************************
104
//IO buffer for dqs signal. Allows for distribution of dqsto the data(DQ) loads.
105
//***********************************************************************
106
 
107
 
108
  OBUFTDS  U3  (
109
            .I(dqs_q),
110
            .T(ddr_dqs_enable1),
111
            .O(ddr_dqs),
112
            .OB(ddr_dqs_n)
113
            );
114
 
115
   IBUFDS  U4 (
116
                   .I(ddr_dqs),
117
                   .IB(ddr_dqs_n),
118
                   .O(dqs)
119
                   );
120
 
121
     endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.