OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [doc/] [orpsoc.texi] - Blame information for rev 492

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 397 julius
\input texinfo   @c -*-texinfo-*-
2
@c %**start of header
3
@setfilename orpsoc.info
4
@settitle ORPSoC manual 0.1
5
@include config.texi
6
@c %**end of header
7
 
8
@copying
9
This file documents the OpenRISC Reference Platform SoC, @value{ORPSOC}.
10
 
11 468 julius
Copyright @copyright{} 2010,2011 OpenCores
12 397 julius
 
13
@quotation
14
Permission is granted to copy, distribute and/or modify this document
15
under the terms of the GNU Free Documentation License, Version 1.2 or
16
any later version published by the Free Software Foundation; with no
17
Invariant Sections, with no Front-Cover Texts, and with no Back-Cover
18
Texts.  A copy of the license is included in the section entitled ``GNU
19
Free Documentation License''.
20
@end quotation
21
@end copying
22
 
23
@setchapternewpage on
24
@settitle @value{ORPSOC} User Guide
25
 
26
@syncodeindex fn cp
27
@syncodeindex vr cp
28
 
29
@titlepage
30
@title @value{ORPSOC} User Guide
31
@c @subtitle subtitle-if-any
32
@c @subtitle second-subtitle
33
@author Julius Baxter
34
@author OpenCores
35
@author Issue 1 for @value{ORPSOC}
36
 
37
@c  The following two commands
38
@c  start the copyright page.
39
@page
40
@vskip 0pt plus 1filll
41
@insertcopying
42
 
43
Published by OpenCores
44
@end titlepage
45
 
46
@c So the toc is printed at the start.
47
@contents
48
 
49
@ifnottex
50
@node Top
51
@top Scope of this Document
52
 
53
This document is the user guide for @value{ORPSOC}, the OpenRISC Reference Platform System on Chip project.
54
 
55
@end ifnottex
56
 
57
@menu
58
* Introduction::
59
* Project Organisation::
60
* Getting Started::
61
* Reference Design::
62
* Board Designs::
63 408 julius
* ORDB1A3PE1500::
64 415 julius
* ML501::
65 485 julius
* Generic Designs::
66 468 julius
* Software::
67 397 julius
* GNU Free Documentation License::  The license for this documentation
68
* Index::
69
@end menu
70
 
71 408 julius
@node Document Introduction
72 397 julius
@chapter Introduction
73
 
74
@cindex introduction to this @value{ORPSOC}
75
 
76 425 julius
@value{ORPSOC} is intended to be a reference implementation of processors in the OpenRISC family. It provides a smallest-possible reference system, primarily for testing of the processors. It also provides systems intended to be synthesized and run on physical hardware.
77 397 julius
 
78 425 julius
The reference system is the least complex implementation and consists of just enough to test the processor's functionality. The board-targeted builds include many additional peripherals.
79 397 julius
 
80 425 julius
The next section in this document outlines the organisation and structure of the project. The section ``@emph{Getting Started}'' goes through getting the project source and setting up any necessary tools. Each following section outlines a particular implementation of an OpenRISC-based system, beginning with the reference system. Each implementation section has an overview of the structure of the project (which probably won't vary much between the implementations), a section on setting up the required tools, running simulation, and if applicable, backend and debugging steps. There may be additional sections on modifying or customising each implementation system.
81 397 julius
 
82
@c ****************************************************************************
83
@c Project Organisation
84
@c ****************************************************************************
85
 
86
@node Project Organisation
87
@chapter Project Organisation
88
@cindex organisation of @value{ORPSOC} project
89
 
90
@menu
91
* Overview::
92
* Software::
93
* RTL::
94
* Testbenches::
95
* Reference And Board Designs::
96
@end menu
97
 
98 408 julius
@node Organisation Overview
99
@section Organisation Overview
100 397 julius
 
101 425 julius
The @value{ORPSOC} project is intended to serve dual purposes. One is to act as a development platform for OpenRISC processors, and as a development platform of OpenRISC-based SoCs targeted at specific hardware.
102 397 julius
 
103 425 julius
Organising a single project to satisfy these requirements can lead to some confusion. This section is intended to make the organisation of the project clear.
104 397 julius
 
105 425 julius
The reference implementation based in the root (base directory) of the project contains enough componenets to create a simple OpenRISC-based SoC. Each board build is intended to implement as fully-featured a system as possible, depending on the targeted hardware.
106
 
107
The project is organised in such a way that each board build can use both the reference implementation's RTL modules and software, as well as its own set of RTL and software. The reference implementation is limited to what is available in the RTL and software directories in the root of the project, and is not technology dependent.
108
 
109 397 julius
The following sections outline the organisation of the software, RTL, and board designs.
110
 
111 408 julius
@node Software Organisation
112 397 julius
@section Software
113
 
114 425 julius
The @code{sw} path contains primarily target software (code intended for cross-compilation and execution on an OpenRISC processor.) Thre is also a path, @code{sw/utils} containing custom tools, intended to be run on the host, for manipulation of binary software images.
115 397 julius
 
116 425 julius
Driver software, implementing access functions for hardware modules, are found under @code{sw/drivers}.
117 397 julius
 
118 425 julius
There is a minimal support library under the @code{sw/lib} path. Both drivers and support library are compiled together to create a library called @code{liborpsoc} which is placed in @code{sw/lib}.
119
 
120
All CPU-related functions are made available through the file @code{cpu-utils.h} which is located in @code{sw/lib/include} and depending on the CPU being used, can be used to switch between different CPU driver functions. All CPU drivers are under the @code{sw/drivers} path.
121
 
122 397 julius
Test software is found under @code{sw/tests}. Typically, each is for a specific module, or for a particular capability (eg. tests for the UART capability are under @code{sw/tests/uart}, rather than @code{sw/tests/uart16550} which.) There are no specific rules here.
123
 
124 425 julius
Under each test directory are two directories, @code{board} and @code{sim}, containing appropriate test software. Code for simulation will produce less printfs and aim to execute within realistic timeframes for RTL simulation. Board targeted test software is obviously written with the opposite considerations in mind.
125 397 julius
 
126 425 julius
@node Software Test Naming
127 397 julius
 
128 425 julius
The rules for naming software tests are important to adhere to, so the automation scripts can locate them. The test directory name must be a single word (potentially with underscores), and then the tests must be in files of the format @emph{testdirname}-@emph{testname}.extension, eg. @code{uart-simple.c} or @code{or1200-fp.S}.
129
 
130 468 julius
@xref{Software} for further details.
131
 
132 408 julius
@node RTL Organisation
133 397 julius
@section RTL
134
 
135
The HDL code layout conforms to those outlined in the OpenCores.org coding guidelines. http://cdn.opencores.org/downloads/opencores_coding_guidelines.pdf
136
 
137 425 julius
There are, however, some naming restrictions for this project.
138 397 julius
 
139 425 julius
The directory name (presumably the name of the module, something like @code{uart16550}) should also be the name of the top level file, eg. @code{uart16550.v}, and the top level module should also be simply this name, eg. @code{module uart16550 (...}.
140
 
141
This will avoid confusion and help the scripts locate modules.
142
 
143
@subsection Verilog HDL
144
 
145
All RTL included in the project at this point is Verilog HDL, although it would be fine to add VHDL to a board build.
146
 
147 408 julius
@node Testbench Organisation
148
@section Testbench
149 397 julius
 
150 425 julius
For each design in @value{ORPSOC} there will be a testbench instantiating the top level, and any required peripherals.
151 397 julius
 
152 425 julius
Despite this being far from a thorough verification platform, it is considered useful to be able to perform enough simulation to ensure that the fundamental system is correctly assembled and can communicate with the peripherals.
153 397 julius
 
154 408 julius
@node Organisation of Reference And Board Designs
155 397 julius
@section Reference And Board Designs
156
 
157
The goal of the reference design is to provide an environment to develop and test OpenRISC processors (also, potentially, basic components.) The goal of the various board-targeted designs is to provide ready-to-go implementations for hardware.
158
 
159 425 julius
@subsection Module Selection
160 397 julius
 
161 425 julius
Typically, a board-targeted design will wish to reuse common components (processor, debug interface, Wishbone arbiters, UART etc.)
162 397 julius
 
163 425 julius
The project has been configured so a board build will use modules in the ``common'' RTL path (@code{rtl/verilog/}) @emph{unless} there is a copy in the board's ``local'' RTL path ( @code{boards/vendor/boardname/rtl/verilog}).
164 397 julius
 
165 425 julius
For example, in the event that modification to a module in the common RTL set is required for it to function correctly in a board build, it's advisable to copy that module to the board's @emph{local} RTL path and modify it there. Simulation and backend scripts should then use this board-specific version instead of the one in the common RTL path.
166
 
167
 
168
 
169 397 julius
@c ****************************************************************************
170
@c Getting started
171
@c ****************************************************************************
172
 
173
@node Getting Started
174
@chapter Getting Started
175
@cindex source files for @value{ORPSOC}, downloading
176
 
177
@menu
178
* Supported Platforms::
179
* Obtaining Project Source::
180
* Required Tools::
181
@end menu
182
 
183 408 julius
@node Getting Started Supported Platforms
184 425 julius
@section Supported Host Platforms
185
@cindex host platforms supported by the @value{ORPSOC} project
186 397 julius
 
187
At present the majority of  @value{ORPSOC}'s development occurs with tools that run under the GNU/Linux operating system. All of the tools required to run the basic implementation are free, open source, and easily installable in any modern GNU/Linux distribution.
188
 
189 425 julius
Unless indicated otherwise, support for the project under Cygwin on Microsoft Windows platforms cannot be assumed.
190 397 julius
 
191 408 julius
@node Getting Started Obtaining Project Source
192 397 julius
@section Obtaining Project Source
193
@cindex getting a copy of the @value{ORPSOC} project
194
 
195
The source for @value{ORPSOC} can be obtained from the OpenCores subversion (SVN) server.
196
 
197
@example
198
@kbd{svn export http://opencores.org/ocsvn/openrisc/openrisc/trunk/orpsocv2}
199
@end example
200
 
201 408 julius
@node Getting Started Required Tools
202 397 julius
@section Required Tools
203
@cindex tools and utilities required for @value{ORPSOC}
204
 
205
 
206
Performing the installation of tools required to design, simulate, verify, compile and debug a SoC is not for the faint hearted. The various sets of tools must be first installed, and the user's environment configured to allow them to run correctly.
207
 
208 415 julius
First the host system must be capable of building and running development tools, next the various compilers, simulators and utilities must be installed, and finally, if required, additional tools to interact with the built design are installed. Once complete, the set up rarely needs to be touched, and results in greatly improved productivity.
209 397 julius
 
210
The required tools can be divided into four groups.
211
 
212
@itemize @bullet
213
@item
214
Host system tools - things like gcc, make, texinfo.
215
 
216
@item
217
Target system toolchain and software - the OpenRISC GNU toolchain, with gcc crosscompiler, support libraries, the GNU debugger (gdb), OpenRISC port of various OSes and RTOS, etc.
218
 
219
@item
220
Electronic design automation (EDA) tools - preprocessors, simulators, FPGA tool suites, etc.
221
 
222
@item
223
Debug tools - tools providing control over the system on target
224
@end itemize
225
 
226
The first two items are likely to be the same for most of the designs included in @value{ORPSOC}, however the final two can vary greatly depending on the FPGA vendor, part and configuration, and the application of the SoC design.
227
 
228
There will be a section on the tools for each design in @value{ORPSOC}. This section is intended to provide a list of tools required for each particular build. Any lengthy instructions on installing a particular tool will be attached as an appendix, which can be references by several build prerequisite lists in order to save repetition of information.
229
 
230
Anyone implementing their own board port is encouraged to document, as best they can, any problematic tool installations and contribute them to this document.
231
 
232
 
233
 
234
@c ****************************************************************************
235
@c Reference Design chapter
236
@c ****************************************************************************
237
 
238
@node Reference Design
239
@chapter Reference Design
240
@cindex reference design
241
 
242
@menu
243
* Overview::
244
* Structure::
245
* Tools::
246
* Simulation::
247
* Synthesis::
248
@end menu
249
 
250 408 julius
@node Reference Design Overview
251 468 julius
@section Overview
252 397 julius
 
253 425 julius
The reference design included in @value{ORPSOC} is intended to be the minimal implementation (or thereabouts) of a SoC required to exercise an OpenRISC processor. Very little apart from the processor, memory, debug interface and interconnect modules are instantiated.
254 397 julius
 
255 415 julius
The primary role for this design is to implement a system that an OpenRISC processor can be instantiated in for for development purposes. The automated testing mechanism, capable of compiling, executing and checking software on the design, is used as a method of regression testing for the processor as it is developed. After features are added or modified in the processor, new software tests can be added to the existing suite, checking for the expected functionality and ensuring legacy behavior is also unchanged.
256 397 julius
 
257
The design can be simulated two ways. The first uses the standard event-driven simulators such as Icarus Verilog and Mentor Graphics' Modelsim. The second method involves creating a cycle accurate (C or SystemC) model from the Verilog HDL description using the Verilator tool.
258
 
259
The simulations begin with the desired software image preloaded in memory. For debugging the design, the models provide an interface to the system allowing the GNU debugger to control the target processor in a manner similar to that of physical hardware.
260
 
261
The design is not intended for implementation on an FPGA or ASIC, rather purely for development and testing in simulation environments. The board targeted builds in the @value{ORPSOC} project, however, are intended for implementation on hardware.
262
 
263 408 julius
@node Reference Design Structure
264 468 julius
@section Structure
265 397 julius
 
266
@menu
267
* Overview::
268
* RTL::
269
* Software::
270
* Simulation::
271
@end menu
272
 
273 408 julius
@node Reference Design Overview
274 468 julius
@subsection Overview
275 397 julius
 
276
The reference design's paths are all based in the root directory of @value{ORPSOC}. This is different from the board-targeted builds, which are based in their specific board paths.
277
 
278
As synthesis and physical implementation is not intended for the reference design there are no @code{syn} or @code{backend} paths in the root directory of @value{ORPSOC}.
279
 
280 408 julius
@node Reference Design RTL
281 468 julius
@subsection RTL
282 397 julius
 
283
At present only Verilog HDL is included in the reference implementation of @value{ORPSOC}, as the open source tools intended to simulate the design do not support VHDL.
284
 
285
The directory structure consists of an @code{rtl} directory in the root, and a @code{verilog} path under that. Within the @code{rtl/verilog} path, each module has its own directory.
286
 
287
A common Verilog include path, @code{rtl/verilog/include} directory is used. The Verilog HDL include files for each module should be moved here. This allows each @value{ORPSOC} implementation (board design) to maintain their own include path, and thus configure the modules for their specific implementation.
288
 
289 408 julius
@node Reference Design Software
290 468 julius
@subsection Software
291 397 julius
 
292
The software run on the reference design is found in the @value{ORPSOC} root directory, under the @code{sw} path.
293
 
294
The test software for the or1200 processor is found under @code{sw/tests/or1200/sim}.
295
 
296
A minimal set of drivers is built into @code{liborpsoc}, and they are found under @code{sw/tests/drivers}.
297
 
298
In addition to these drivers, a set of support C functions is build into @code{liborpsoc}, which are found in the @code{sw/lib} path.
299
 
300 408 julius
@node Reference Design Simulation
301 468 julius
@subsection Simulation
302 397 julius
 
303
The simulation of the reference design is run from the @code{sim/run} path.
304
 
305
The script controlling simulation is the file @code{sim/bin/Makefile}.
306
 
307
The generated output is kept in the @code{sim/out} path, and is cleared away when @kbd{make clean} is run.
308
 
309
When the Verilator-processed cycle accurate model is built, it is done in the @code{sim/vlt} path, which is also cleaned away when @kbd{make clean} is run.
310
 
311 408 julius
@node Reference Design Tools
312 468 julius
@section Tools
313 397 julius
 
314
@menu
315
* Host Tools::
316
* Target System Tools::
317
* EDA Tools::
318
* Debug Tools::
319
@end menu
320
 
321 408 julius
@node Reference Design Host Tools
322 468 julius
@subsection Host Tools
323 397 julius
@cindex host tools required
324
 
325
Standard development suite of tools: gcc, make, etc.
326
 
327 408 julius
@node Reference Design Target System Tools
328 468 julius
@subsection Target System Tools
329 397 julius
@cindex target system tools required
330
 
331
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
332
 
333 408 julius
@node Reference Design EDA Tools
334 468 julius
@subsection EDA Tools
335 397 julius
@cindex EDA tools required
336
 
337
RTL simulation: Icarus Verilog (also compatible with Mentor Graphics' Modelsim)
338
Cycle Accurate Simulation: Verilator, Verilog-Perl, System-Perl, SystemC
339
 
340 408 julius
@node Reference Design Debug Tools
341 468 julius
@subsection Debug Tools
342 397 julius
@cindex Debug tools required
343
 
344
None. The target is purely simulation, no extra utilities are required to debug.
345
 
346
 
347 408 julius
@node Reference Design Simulation
348 468 julius
@section Simulation
349 397 julius
 
350
@menu
351
* RTL::
352
* Cycle Accurate::
353
* Results::
354
@end menu
355
 
356 408 julius
@node Reference Design RTL
357 468 julius
@subsection RTL
358 397 julius
@cindex rtl simulation of reference design
359
 
360
All simulations of the reference design are run from the @code{sim/run} path.
361
 
362 468 julius
@subheading Running RTL Regression Test
363 397 julius
 
364
The simplest way of starting a run through the regression test, using the default RTL simulator, Icarus Verilog, can be done with:
365
 
366
@example
367
@kbd{make rtl-tests}
368
@end example
369
 
370 408 julius
This will compile the software and RTL, and run a new simulation for each software test. Defining which tests are run is the variable @code{TESTS}, set by default in the @code{sw/bin/Makefile} script. Other default options are that a processor execution log is generated (in @code{sim/out/@emph{testname}-executed.log}), but VCDs are not.
371 397 julius
 
372 468 julius
@subheading Running An Individual Test
373 397 julius
 
374
An individual test can be run, by specifying the test name through the @code{TEST} environment variable (which must correspond to a file in @code{sw/tests/@emph{module}/sim/} where @code{@emph{module}} is the name of the module to be tested. In the following example the test @emph{or1200-basic} is run.
375
 
376
@example
377
@kbd{make rtl-test TEST=or1200-basic}
378
@end example
379
 
380 408 julius
@node Running A Set Of Specific Reference Design RTL Tests
381 468 julius
@subheading Running A Set Of Specific Tests
382 397 julius
 
383
A specific set of tests can be run in the same fashion as the regression tests but with the actual tests to run set in the @code{TESTS} environment variable.
384
 
385
@example
386
@kbd{make rtl-tests TESTS="sdram-rows uart-simple or1200-mmu or1200-fp"}
387
@end example
388
 
389 468 julius
@node Providing A Precompiled ELF Executable
390
@subheading Providing A Precompiled ELF Executable
391
 
392
It's possible to specify the path to an OR32 ELF executable to be run in simulation instead of test software. Use the @code{USER_ELF} environment variable to specify the path to the ELF to run.
393
 
394
@example
395
@kbd{make rtl-test USER_ELF=/path/to/myapp.elf}
396
@end example
397
 
398
The ELF will be converted to binary format, and then converted to a VMEM to be
399
loaded into the model for execution at runtime.
400
 
401
@node Providing A Custom VMEM Image
402
@subheading Providing A Custom VMEM Image
403
 
404
It's possible to specify the path to a pre-existing VMEM image to be run in simulation instead of test software. Use the @code{USER_VMEM} environment variable to specify the path to the VMEM image to be run.
405
 
406
@example
407
@kbd{make rtl-test USER_VMEM=/path/to/myapp.vmem}
408
@end example
409
 
410
This VMEM file will be copied into the working directory, and renamed according to what the simulated memory expects.
411
 
412 408 julius
@node Options For Reference Design RTL Tests
413 468 julius
@subheading Options For RTL Tests
414 397 julius
 
415
There are some options, which can be specified through shell environment variables when running the test.
416
 
417
@table @code
418
 
419
@item VCD
420 408 julius
Set to '1' to enable @emph{value change dump} (VCD) creation in @code{sim/out/@emph{testname}.vcd}
421 397 julius
 
422
@item VCD_DELAY
423
Delay VCD creation start time by this number of timesteps (used as a Verilog @code{#delay} in the testbench.)
424
 
425
@item VCD_DELAY_INSNS
426
Delay VCD creation start time until this number of instructions has been executed by the processor. Useful for creating a dump just before a bug exhibited and correlated to an instruction number (from execution trace file.)
427
 
428
@item END_TIME
429
Force simulation end (@code{$finish}) at this time.
430
 
431
@item DISABLE_PROCESSOR_LOGS
432
Turn off processor monitor's execution trace generation. This helps speed up the simulation (less time writing to files) and avoids creating very large execution logs (in the GBs) for very long simulations.
433
 
434
@item SIMULATOR
435
Specify simulator to use. Default is Icarus Verilog, can be set to @code{modelsim} to use Mentor Graphics' Modelsim. No others are supported right now.
436
 
437 485 julius
@item MGC_NO_VOPT
438
When using Modelsim (specifying @code{SIMULATOR=modelsim}), if the version does not include the individual @code{vopt} executable, specify @code{MGC_NO_VOPT=1} when compiling.
439
 
440 492 julius
@item VPI
441
Pass @code{VPI=1} to have the an external JTAG debug module stall the processor just after bootup, and then provide a GDB stub (interacting with the Verilog sim via the VPI) to allow control of the system in a similar fashtion to that of a physical target controlled over JTAG via a debug proxy application. The port for GDB is hardcoded to 50002. See the code in @code{bench/verilog/vpi/c} for more details.
442
If running with Modelsim, ensure the path @code{MGC_PATH} is set and points to a directory containing a path named @code{modeltech}, which should be the Modelsim install.
443
 
444 397 julius
@end table
445
 
446
 
447
 
448 485 julius
 
449
 
450 408 julius
@node Reference Design Cycle Accurate
451 468 julius
@subsection Cycle Accurate
452 397 julius
@cindex cycle accurate simulation of reference design
453
 
454 468 julius
@subheading Running Cycle Accurate Regression Test
455 397 julius
 
456
The simplest way of starting a run through the regression test using the cycle accurate model can be done with:
457
 
458
@example
459
@kbd{make vlt-tests}
460
@end example
461
 
462
This will build the cycle accurate model and run a new simulation for each software test. Defining which tests are run is the variable @code{TESTS}, set by default in the @code{sw/bin/Makefile} script.
463
 
464 468 julius
@subheading Running An Individual Test
465 397 julius
 
466
An individual test can be run, by specifying the test name through the @code{TEST} environment variable (which must correspond to a file in @code{sw/tests/@emph{module}/sim/} where @code{@emph{module}} is the name of the module to be tested. In the following example the test @emph{or1200-basic} is run.
467
 
468
@example
469
@kbd{make vlt-test TEST=or1200-basic}
470
@end example
471
 
472 468 julius
@subheading Generating Cycle Accurate Simulator Executable
473 397 julius
 
474
The cycle accurate model is somewhat similar to the OpenRISC architectural simulator, in that it can be run as a standalone application, although it is not as configurable at runtime. The standalone application can be built with the following command from the @code{sim/run} path.
475
 
476
@example
477
@kbd{make prepare-vlt}
478
@end example
479
 
480
The resulting executable will be @emph{Vorpsoc_top} in the @code{sim/vlt} path. Run it with the @emph{-h} option for usage instructions.
481
 
482 468 julius
@subheading Generating Automatically Profiled Cycle Accurate Simulator Executable
483 397 julius
 
484
An automatic profiling and compilation set of commands in the script can be used to create a higher performance cycle accurate model. The following make target will first compile the cycle accurate design to generate profiling outputs, run some software, and recompile using the profiling information.
485
 
486
@example
487
@kbd{make prepare-vlt-profiled}
488
@end example
489
 
490 468 julius
@subheading Cycle Accurate Model Executable Usage
491 397 julius
 
492
The executable generated by running any of the above commands is in the @code{sim/vlt} path. The usage options can be listed by running it with the @code{--help} switch.
493
 
494
@example
495
@kbd{Vorpsoc_top --help}
496
@end example
497
 
498
A short list of options is given here.
499
 
500
@table @code
501
 
502
@item -f @var{file}
503
@itemx --program @var{file}
504
@cindex @code{-f}
505
@cindex @code{--program}
506
Load software from OR32 ELF image @var{file}
507
 
508
If unspecified, model attempts to load VMEM file @code{sram.vmem}
509
 
510
@item -v
511
@itemx --vcd
512
@cindex @code{-v}
513
@cindex @code{--vcd}
514
Dump VCD file
515
 
516
@item -e @var{value}
517
@itemx --endtime @var{value}
518
@cindex @code{-e}
519
@cindex @code{--endtime}
520
End simulation after @var{value} simulated ns
521
 
522
@item -l @var{file}
523
@itemx --log @var{file}
524
@cindex @code{-l}
525
@cindex @code{--log}
526
Log processor execution trace to @var{file}
527
 
528
@end table
529
 
530 408 julius
@node Reference Design Results
531 468 julius
@subsection Results
532 397 julius
@cindex output from simulation of reference design
533
 
534 415 julius
The following files are generated from the event driven simulation. For output options of the cycle accurate model, see the section on Cycle Accurate Model Executable Usage.
535 397 julius
 
536 468 julius
@subheading Processor Execution Trace
537 397 julius
 
538
A trace of the processor after each executed instruction is generated by both the event and cycle accurate models.
539
 
540
In the event driven simulations, the log is created by default, and is stored in @code{sim/out} and will be named @code{@emph{test-name}-executed.log}.
541
 
542 468 julius
@subheading Processor SPR Access Log
543 397 julius
 
544
A list of processor special purpose registers (SPR) accesses is created when processor logging is enabled.
545
 
546
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-sprs.log}.
547
 
548 468 julius
@subheading Processor Instruction Excecution Time Log
549 397 julius
 
550
A list of when each instruction was executed is generated when processor execution logging is enabled.
551
 
552
This is useful when debugging with VCD, and detecting at what time the problematic instructions were executed.
553
 
554
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-lookup.log}.
555
 
556 468 julius
@subheading Processor Report Mechanism Log
557 397 julius
 
558
The use of the processor's report mechanism is commonplace in the test software, as it allows for the checking of intermediate values after simulation.
559
 
560
These values are logged to a file in @code{sim/out} named @code{@emph{test-name}-general.log}. This is not optional.
561
 
562 468 julius
@subheading Value Change Dump (VCD)
563 397 julius
 
564
When VCD files are generated they are placed in the @code{sim/out} path, and are named @code{@emph{test-name}.vcd}. They should be viewable with programs like @emph{GTKWave}.
565
 
566
 
567 408 julius
@node Reference Design Synthesis
568 468 julius
@section Synthesis
569 397 julius
 
570
The reference design is not intended to be synthesised, and thus no backend scripts are provided. See the sections on the board-specific builds.
571
 
572
 
573
@c ****************************************************************************
574 408 julius
@c ORDB1A3PE1500 board build chapter
575 397 julius
@c ****************************************************************************
576
 
577 408 julius
@node ORDB1A3PE1500
578
@chapter ORDB1A3PE1500
579
@cindex ORDB1A3PE1500 board build information
580 397 julius
 
581
@menu
582
* Overview::
583
* Structure::
584
* Tools::
585
* Simulating::
586 408 julius
* Synthesis and Backend::
587
* Programming File Generation::
588
* Customising::
589 397 julius
@end menu
590
 
591 408 julius
@node ORDB1A3PE1500 Overview
592 468 julius
@section Overview
593 397 julius
 
594 408 julius
The ORDB1 (ORSoC development board 1) with Actel A3PE1500 FPGA is supported by this build.
595 397 julius
 
596 408 julius
As the ORDB1 is intended to be a daughter board for a variety of I/O boards its options for configuration are extensive.
597
 
598
This board port of ORPSoC implements an example of a configurable system, with many cores that can be enabled or disabled as required by the expansion board's capabilities.
599
 
600 415 julius
The port was mainly developed with the ORSoC Ethernet expansion board (OREEB1), and was used with the OpenRISC port of the Linux kernel and BusyBox suite running network applications.
601 408 julius
 
602
This guide will overview how to simulation, synthesize and customise the system.
603
 
604
@node ORDB1A3PE1500 Structure
605 468 julius
@section Structure
606 397 julius
 
607 408 julius
Note that in this chapter the term @emph{board path} refers to the path in the project for this board port; @code{boards/actel/ordb1a3pe1500}.
608 397 julius
 
609 408 julius
The board port's structure is similar to that of a standalone project which accords with the OpenCores coding guidelines. However, all software and RTL that is available in the reference design is also available to the board port, with any local (ie. in the board's @code{rtl} or @code{sw} paths) versions taking precedence over the versions available in the reference design.
610
 
611
The Verilog RTL specific to this board is under @code{rtl/verilog} in the board path. The @code{include} path in there is the place where all required definitions files, configuring the RTL, are found.
612
 
613
Backend files, things such as PLLs and buffers generated by Actel's @emph{smartgen} tool, are found in the board's @code{backend/rtl/verilog} path.
614
 
615
@node ORDB1A3PE1500 Tools
616 468 julius
@section Tools
617 397 julius
 
618
@menu
619
* Host Tools::
620
* Target System Tools::
621
* EDA Tools::
622
* Debug Tools::
623
@end menu
624
 
625 408 julius
@node ORDB1A3PE1500 Host Tools
626 468 julius
@subsection Host Tools
627 408 julius
@cindex host tools required ORDB1A3PE1500
628 397 julius
 
629
Standard development suite of tools: gcc, make, etc.
630
 
631 408 julius
@node ORDB1A3PE1500 Target System Tools
632 468 julius
@subsection Target System Tools
633 408 julius
@cindex target system tools required ORDB1A3PE1500
634 397 julius
 
635
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
636
 
637 408 julius
@node ORDB1A3PE1500 EDA Tools
638 468 julius
@subsection EDA Tools
639 408 julius
@cindex EDA tools required ORDB1A3PE1500
640 397 julius
 
641
RTL, gatelevel simulation: Mentor Graphics' Modelsim
642
Synthesis: Synopsys Synplify (included in Actel Libero Suite)
643
Backend: Actel Designer (included in Actel Libero Suite)
644
Programming: Actel FlashPRO (included in Actel Libero Suite)
645
 
646 439 julius
This has been tested with with Libero v8.6 and v9.0sp1 under Ubuntu Linux.
647 408 julius
 
648
@node ORDB1A3PE1500 Debug Tools
649 468 julius
@subsection Debug Tools
650 408 julius
@cindex Debug tools required ORDB1A3PE1500
651 397 julius
 
652
or_debug_proxy, ORPmon
653
 
654 408 julius
@node ORDB1A3PE1500 Simulating
655 468 julius
@section Simulating
656 408 julius
@cindex simulating ORDB1A3PE1500
657 397 julius
 
658 468 julius
@subheading Run RTL Regression Test
659 408 julius
 
660
To run the default set of regression tests for the build, run the following command in the board's @code{sw/run} path.
661
 
662
@example
663
@kbd{make rtl-tests}
664
@end example
665
 
666 425 julius
The same set of options for RTL tests available in the reference design should be available in this build. @xref{Running A Set Of Specific Reference Design RTL Tests}.
667 408 julius
 
668 409 julius
Options specific to the ORDB1A3PE1500 build.
669
 
670
@table @code
671
 
672
@item PRELOAD_RAM
673
Set to '1' to enable loading of the software image into RAM at the beginning of simulation.
674
 
675
If the chosen bootROM program (set via a define in software header file in the board's @code{sw/board/include} path) will jump straight to RAM to begin execution, then the software image will need to be in RAM for the simulation to work. This define @emph{must} be used in that case for the simulation to do anything.
676
 
677
 
678
@end table
679
 
680
 
681
 
682 408 julius
@node ORDB1A3PE1500 Synthesis
683 468 julius
@section Synthesis
684 408 julius
 
685
Synthesis of the board port for the Actel technology with the Synplify tool can be run in the board's @code{syn/synplify/run} path with the following command.
686
 
687
@example
688
@kbd{make all}
689
@end example
690
 
691
This will create a EDIF netlist in @code{syn/synplify/out}.
692
 
693
Hopefully it's all automated enough so that, as long as the design is simulating as desired, the correct set of RTL will be picked up and synthesized without any need for customising scripts for the tool.
694
 
695
@node ORDB1A3PE1500 Synthesis Options
696 468 julius
@subsection Options
697 408 julius
 
698
The following can be passed as environment variables when running @kbd{make all}.
699
 
700
@table @code
701
 
702
@item RTL_TOP
703
Default's to the designs top level module, @emph{orpsoc_top}, but if wishing to synthesize a particular module, its name (not instantiated name) should be set here.
704
 
705
@item FPGA_PART
706
Defaults to A3PE1500 but if targeting any other set it with this.
707
 
708
@item FPGA_FAMILY
709
Defaults to the A3PE1500's @emph{ProASIC3E} but if targeting any other set it with this.
710
 
711
@item FPGA_PACKAGE
712
Defaults to PQFP208 but if targeting any other set it with this.
713
 
714
@item FPGA_SPEED_GRADE
715
Defaults to Std but if targeting any other set it with this.
716
 
717
@item FREQ
718
Target frequency for synthesis.
719
 
720
@item MAXFAN
721
Maximum net fanout.
722
 
723
@item MAXFAN_HARD
724
Hard limit on maximum net fanout.
725
 
726
@item GLOBALTHRESH
727
Threshold of fanout before promoting signal to a global routing net.
728
 
729
@item RETIMING
730
Defaults to '1' (on) but set to '0' to disable.
731
 
732
@item RESOURCE_SHARING
733
Defaults to '1' (on) but set to '0' to disable.
734
 
735
@item DISABLE_IO_INSERTION
736
Defaults to '0' (off) but set to '1' to enable. Useful when synthesizing individual modules not intended as a top level.
737
 
738
@end table
739
 
740
@node ORDB1A3PE1500 Synthesis Warnings
741 468 julius
@subsection Checks
742 408 julius
 
743
The following is a list of some considerations before synthesis.
744
 
745
@itemize @bullet
746
@item bootrom.v
747
 
748 415 julius
If the bootROM module is being used to provide the processor with a program at startup, check that board software include file, in the board's @code{sw/board/include} path, is selecting the correct bootROM program.
749 408 julius
 
750 449 julius
Do a @kbd{make distclean} from the synthesis run directory to be sure that the previous bootROM file is cleared away and regenerated when synthesis is run.
751 408 julius
 
752
 
753
@item Clean away old leftovers
754
 
755
If the unwanted files from an old synthesis run are still there before the next run, it's best to clean them away with @kbd{make clean} from the synthesis run directory.
756
 
757
 
758
@item Check Command Line Options
759
 
760
If using any command line settings, they can be checked by passing them to the following make target: @kbd{make print-config}
761
 
762
 
763
@end itemize
764
 
765
@node ORDB1A3PE1500 Place and Route
766 468 julius
@section Place and Route
767 408 julius
 
768
Place and route is run from the board's @code{backend/par/run} path with the following command.
769
 
770
@example
771
@kbd{make all}
772
@end example
773
 
774
This will create a @code{.adb} file in the same path.
775
 
776 439 julius
All steps, up to and including programming file generation are done here. FPGA device programming must be done using the programming FlashPro tool under Windows if using a free license.
777 408 julius
 
778
@node ORDB1A3PE1500 Place and route options
779 468 julius
@subsection Options
780 408 julius
 
781 439 julius
Most of the design's parameters are determined by processing the @code{orpsoc-defines.v} file and extracting, for example, the frequency of the clocks entering the design.
782 408 julius
 
783
The following can be passed as environment variables when running @kbd{make all}.
784
 
785
@table @code
786
 
787
@item FPGA_PART
788
Defaults to A3PE1500 but if targeting any other set it with this.
789
 
790
@item FPGA_FAMILY
791
Defaults to the A3PE1500's @emph{ProASIC3E} but if targeting any other set it with this.
792
 
793
@item FPGA_PACKAGE
794
Defaults to ``208 PQFP'' but if targeting any other set it with this.
795
 
796
 
797
@item FPGA_SPEED_GRADE
798
Defaults to Std but if targeting any other set it with this.
799
 
800
@item FPGA_VOLTAGE
801
Defaults to 1.5 but if targeting any other set it with this.
802
 
803
@item FPGA_TEMP_RANGE
804
Defaults to COM but if targeting any other set it with this.
805
 
806
@item FPGA_VOLT_RANGE
807
Defaults to COM but if targeting any other set it with this.
808
 
809
@item PLACE_INCREMENTAL
810
Defaults to off.
811
 
812
@item ROUTE_INCREMENTAL
813
Defaults to off.
814
 
815
@item PLACER_HIGH_EFFORT
816
Defaults to off.
817
 
818
@item BOARD_CONFIG
819
Defaults to @code{orsoccpuexpio.mkpinassigns}
820
 
821
@end table
822
 
823
@node ORDB1A3PE1500 Constraints
824 468 julius
@subsection Constraints
825 408 julius
 
826
 
827
A @emph{synposys design constraints} (SDC) file, and @emph{physical design constraints} (PDC) file are generated automatically by the scripts. The main Verilog defines file is parsed to detect which modules are included in the design, and generates the appropriate constraints which are embedded in the Makefile.
828
 
829
 
830
The PDC relies on the @code{BOARD_CONFIG} environment variable to indicate which pin assignment file to pull into the Makefile (they live in @code{backend/par/bin}). The PDC also depends on the actual contents of the main place and route Makefile, @code{backend/par/bin/Makefile}.
831
 
832
 
833
By default these should have support for the peripherals included with ORPSoC. Double check, however, that the correct constraints are set, by running the following command before starting place and route.
834
 
835
@example
836
@kbd{make pdc-file sdc-file}
837
@end example
838
 
839
These can be generated and edited and then used when running place and route, they will not get replaced.
840
 
841
@node ORDB1A3PE1500 Programming File Generation
842 468 julius
@section Programming File Generation
843 408 julius
 
844
The @code{.adb} file resulting from place and route can be opened in the Actel @emph{Designer} tool and a programming file generated there.
845
 
846
Once this programming file is created, Actel's @emph{FlashPro} can used to program the ORDB1A3PE1500 board.
847
 
848
@node ORDB1A3PE1500 Customising
849 468 julius
@section Customising
850 408 julius
 
851
The versatile nature of the ORDB1A3PE1500 means the design that goes on it must be equally versatile, if not more so.
852
 
853
The following sections have information on how to configure the design.
854
 
855
@node ORDB1A3PE1500 Customising Enabling Existing Modules
856 468 julius
@subsection Enabling Existing RTL Modules
857 408 julius
 
858
The design relies on the Verilog HDL @emph{define} function to indicate which modules are included.
859
 
860 415 julius
There are only a few modules included by default.
861 408 julius
 
862
@itemize @bullet
863
@item Processor - @emph{or1200}
864
@item Clock and reset generation - @emph{clkgen}
865
@item Bus arbiters - @emph{arbiter_ibus}, @emph{arbiter_dbus}, @emph{arbiter_bytebus}
866
@end itemize
867
 
868
The rest are optional, depending on what is defined in the board's @code{rtl/verilog/include/orpsoc-defines.v} file.
869
 
870
Inspect that file to see which modules are able to be included. At present the list includes USB 1.1 host controller and/or slave interface, I2C master/slave core, and SPI master cores.
871
 
872 415 julius
These cores should be supported and ready to go by just defining them (uncomment in the @code{orspco-defines.v} file.)
873 408 julius
 
874
@node ORDB1A3PE1500 Customising Adding Modules
875 468 julius
@subsection Adding RTL Modules
876 408 julius
 
877
There are a number of steps to take when adding a new module to the design.
878
 
879
@itemize @bullet
880
@item RTL Files
881
 
882
Create a directory under the board's @code{rtl/verilog} directory, and name it the same as the top level of the module.
883
 
884
Ensure the module's top level file and actual name of the module when it will be instantiated are @emph{all the same}.
885
 
886
Place any include files into the board's @code{rtl/verilog/include} path.
887
 
888
@item Instantiate in ORPSoC Top Level File
889
 
890
Instantiate the module in the ORPSoC top level file, @code{rtl/verilog/orpsoc_top/orpsoc_top.v}, and be sure to take care of the following.
891
@itemize @bullet
892
@item Create appropriate @emph{`define} in @code{orpsoc-defines.v} and surround module instantiation with it.
893
@item Add required I/Os (surrounded by appropriate @emph{`ifdef })
894
@item Attach to appropriate bus arbiter, declaring any signals required. Be sure to tie them off if modules is not included.
895
@item Update appropriate bus arbiter (in board's @code{rtl/verilog/arbiters} path) adding (uncommenting) additional ports as needed.
896
@item Update board's @code{rtl/verilog/include/orpsoc-params.v} file with appropriate set of parameters for new module, as well as arbiter memory mapping assignment.
897
@item Attach appropriate clocks and resets, modify the board's @code{rtl/verilog/clkgen/clkgen.v} file generating appropriate clocks if required.
898
@item Attach any interrupts to the processor's PIC vector in, assigned as the last thing in the file.
899
@end itemize
900
 
901
@item Update ORPSoC Testbench
902
 
903
Update the board's @code{bench/verilog/orpsoc_testbench.v} file with appropriate ports (surrounded by appropriate @emph{`ifdef}.)
904
 
905
Add any desired models to help test the module to the board's @code{bench/verilog} path and instantiate it correctly in the testbench.
906
 
907
@item Add Software Drivers and Tests
908
 
909
In a similar fashion to what is already in the board's @code{sw/drivers} and @code{sw/tests} path, create desired driver and test software to be used during simulation (and potentially on target.)
910
 
911
@item Update Backend Scripts
912
 
913 415 julius
If any I/O is added, or special timing specified, the board's backend main Makefile, @code{backend/par/bin/Makefile} and pinout files (in @code{backend/par/bin} will need to be updated.
914 408 julius
 
915
The section in @code{backend/par/bin/Makefile} mapping signals to Makefile variables will need to have these new signals added to them. The section in the file begins with @code{$(PDC_FILE):} and is actually a set of long bash lines.
916
 
917 415 julius
Continuing the format already there should be easy enough. Remember that the @code{orspoc-defines.v} file is parsed and it's possible to tell if the module is included by testing if the variable is defined.
918 408 julius
 
919
For example, to add I/Os for a module called @code{foo}, and in @code{orpsoc-defines.v} a value @code{FOO1} is defined, we can add I/Os @code{foo1_srx_i} and @code{foo1_tx_o[3:0]} with the following.
920
 
921
@example
922
@kbd{   $(Q)if [ ! -z $$FOO1 ]; then \
923
        echo "set_io foo1_srx_i " $(FOO_SRX_BUS_SETTINGS) " \
924 410 julius
        -pinname "$(FOO1_SRX_PIN) >> $@@; \
925 408 julius
        echo "set_io foo1_tx_o\\[0\\] " $(FOO_TX_BUS_SETTINGS) " \
926 410 julius
         -pinname "$(FOO1_TX0_PIN) >> $@@; \
927 408 julius
        echo "set_io foo1_tx_o\\[1\\] " $(FOO_TX_BUS_SETTINGS) "  \
928 410 julius
        -pinname "$(FOO1_TX1_PIN) >> $@@; \
929 408 julius
        echo "set_io foo1_tx_o\\[2\\] " $(FOO_TX_BUS_SETTINGS) "  \
930 410 julius
        -pinname "$(FOO1_TX2_PIN) >> $@@; \
931 408 julius
        echo "set_io foo1_tx_o\\[3\\] " $(FOO_TX_BUS_SETTINGS) "  \
932 410 julius
        -pinname "$(FOO1_TX3_PIN) >> $@@; \
933
fi
934 408 julius
       }
935
@end example
936
 
937
@emph{(ensure there is no whitespace after the trailing backslashes.)}
938
 
939
It's a little hard to follow, but it's essentially one @code{set_io} line for each I/O line.
940
 
941
First the line checks if the module's define was exported (which happens automatically if it's defined in @code{orpsoc-defines.v}.
942
 
943
Note that what is defined can be checked by running @kbd{make print-defines} in the board's @code{backend/par/run} path.
944
 
945
The values of the bus settings variables depend on the desired I/O standards and other examples in the Makefile can be referenced.
946
 
947 415 julius
The pin numbers need to be set in the @code{.mkpinassigns} which is included into the Makefile (according to the @code{BOARD_CONFIG} variable set when running the @code{make} command.)
948 408 julius
 
949
These files are simple assignments of values to variables (and potentially then to other variables) which correspond to the variables finally used in the main Makefile.
950
 
951
The physical constraints file can be generated manually with the @kbd{make pdc-file} command.
952
 
953
@end itemize
954
 
955 415 julius
@c ****************************************************************************
956
@c ML501 board build chapter
957
@c ****************************************************************************
958 408 julius
 
959 415 julius
@node ML501
960
@chapter ML501
961
@cindex ML501 board build information
962 408 julius
 
963 415 julius
@menu
964
* Overview::
965
* Structure::
966
* Tools::
967
* Simulating::
968
* Synthesis and Backend::
969
* Programming File Generation::
970
* Customising::
971
* Running And Debugging Software::
972
@end menu
973 408 julius
 
974 415 julius
@node ML501 Overview
975 468 julius
@section Overview
976 408 julius
 
977 415 julius
The Xilinx ML501 board contains a Virtex LX50 part, varied memories and peripherals. See Xilinx's site for specific details:
978
 
979
http://www.xilinx.com/products/devkits/HW-V5-ML501-UNI-G.htm
980
 
981
Not all peripherals are supported, and adding support for each is a goal.
982
 
983
At present the build contains a memory controller for the DDR2 SDRAM (based around a Xilinx MIG derived controller) and SSRAM. None of the other peripherals (VGA/AC97/PS2/USB/LCD) have controllers in the design yet.
984
 
985
The OpenCores 10/100 Ethernet MAC can be used for Ethernet, but still has some bugs to do with memory access, although it appears to be using the RGMII interface to the 10/10/1000 PHY on the ML501 OK.
986
 
987
The project is configured to generate either a @code{.bit} file for direct programming via JTAG, or a @code{.mcs} file with inbuilt bootloader software for the processor, meaning the board can be powered up and an application like ORPmon loaded without having to reprogram it from iMPACT between power cycles.
988
 
989
This guide is far from complete, but provides the basics on running simulations, and building the design.
990
 
991
@node ML501 Structure
992 468 julius
@section Structure
993 415 julius
 
994
Note that in this chapter the term @emph{board path} refers to the path in the project for this board port; @code{boards/xilinx/ml501}.
995
 
996
The board port's structure is similar to that of a standalone project which accords with the OpenCores coding guidelines. However, all software and RTL that is available in the reference design is also available to the board port, with any local (ie. in the board's @code{rtl} or @code{sw} paths) versions taking precedence over the versions available in the reference design.
997
 
998
The Verilog RTL specific to this board is under @code{rtl/verilog} in the board path. The @code{include} path in there is the place where all required definitions files, configuring the RTL, are found.
999
 
1000
Backend files, mainly binary NGC files for mapping, are found in the board's @code{backend/bin} path.
1001
 
1002 425 julius
@node ML501 XILINX_PATH
1003 468 julius
@subsection ML501 XILINX_PATH
1004 425 julius
 
1005 415 julius
Be sure to set the environment variable @code{XILINX_PATH} to the path of the ISE path on the host machine. This can be done with something like @kbd{export XILINX_PATH=/software/xilinx_11.1/ISE} and additionally a symbolic link to the Verilog simulation library sources will be required - see the simulation section on this. Note that it helps to add the @code{XILINX_PATH} variable to the user's @code{.bashrc} script or similar to save setting it each time a new shell is opened.
1006
 
1007
If the @code{XILINX_PATH} variable is not set correctly, the makefiles will not run.
1008
 
1009
@node ML501 Tools
1010 468 julius
@section Tools
1011 415 julius
 
1012
@menu
1013
* Host Tools::
1014
* Target System Tools::
1015
* EDA Tools::
1016
* Debug Tools::
1017
@end menu
1018
 
1019
@node ML501 Host Tools
1020 468 julius
@subsection Host Tools
1021 415 julius
@cindex host tools required ML501
1022
 
1023
Standard development suite of tools: gcc, make, etc.
1024
 
1025
@node ML501 Target System Tools
1026 468 julius
@subsection Target System Tools
1027 415 julius
@cindex target system tools required ML501
1028
 
1029
OpenRISC GNU toolchain. For installation, see OpenRISC GNU toolchain page on OpenCores.org.
1030
 
1031
@node ML501 EDA Tools
1032 468 julius
@subsection EDA Tools
1033 415 julius
@cindex EDA tools required ML501
1034
 
1035
RTL, gatelevel simulation: Mentor Graphics' Modelsim
1036
Synthesis: XST (from Xilinx ISE)
1037
Backend: ngdbuild/map/par/bitgen/promgen, etc. (from Xilinx ISE)
1038
Programming: iMPACT (from Xilinx ISE)
1039
 
1040 439 julius
This has been tested with Xilinx ISE 11.1 under Ubuntu Linux.
1041 415 julius
 
1042
 
1043
@node ML501 Debug Tools
1044 468 julius
@subsection Debug Tools
1045 415 julius
@cindex Debug tools required ML501
1046
 
1047
or_debug_proxy, ORPmon
1048
 
1049
@node ML501 Simulating
1050 468 julius
@section Simulating
1051 415 julius
@cindex simulating ML501
1052
 
1053 425 julius
Ensure the @code{XILINX_PATH} environment variable is set correcetly. @xref{ML501 XILINX_PATH} for information.
1054 415 julius
 
1055 425 julius
Note that if this path is not set, simulations will not compile.
1056 415 julius
 
1057 468 julius
@subheading Run RTL Regression Test
1058 415 julius
 
1059
To run the default set of regression tests for the build, run the following command in the board's @code{sw/run} path.
1060
 
1061
@example
1062
@kbd{make rtl-tests}
1063
@end example
1064
 
1065 425 julius
The same set of options for RTL tests available in the reference design should be available in this build. @xref{Running A Set Of Specific Reference Design RTL Tests}.
1066 415 julius
 
1067
Options specific to the ML501 build.
1068
 
1069
@table @code
1070
 
1071
@item PRELOAD_RAM
1072
Set to '1' to enable loading of the software image into RAM at the beginning of simulation.
1073
 
1074
If the chosen bootROM program (set via a define in software header file in the board's @code{sw/board/include} path) will jump straight to RAM to begin execution, then the software image will need to be in RAM for the simulation to work. This define @emph{must} be used in that case for the simulation to do anything.
1075
 
1076
 
1077
@end table
1078
 
1079
 
1080
 
1081
@node ML501 Synthesis
1082 468 julius
@section Synthesis
1083 415 julius
 
1084
Synthesis of the board port for the Actel technology with the Synplify tool can be run in the board's @code{syn/xst/run} path with the following command.
1085
 
1086
@example
1087
@kbd{make all}
1088
@end example
1089
 
1090
This will create an NGC file in @code{syn/xst/run} named @code{orpsoc.ngc}.
1091
 
1092
Hopefully it's all automated enough so that, as long as the design is simulating as desired, the correct set of RTL will be picked up and synthesized without any need for customising scripts for the tool.
1093
 
1094
@node ML501 Synthesis Options
1095 468 julius
@subsection Options
1096 415 julius
 
1097
Use the following command int the @code{syn/xst/run} path to get a list of the variables used during synthesis. Any can be set on the command line when running @code{make all}.
1098
 
1099
@example
1100
@kbd{make print-config}
1101
@end example
1102
 
1103
 
1104
@node ML501 Synthesis Warnings
1105 468 julius
@subsection Checks
1106 415 julius
 
1107
The following is a list of some considerations before synthesis.
1108
 
1109
@itemize @bullet
1110
@item bootrom.v
1111
 
1112
If the bootROM module is being used to provide the processor with a program at startup (reset address in processor's define file is set to @code{0xf0000100} or similar), check that board software include file, in the board's @code{sw/board/include} path, is selecting the correct bootROM program.
1113
 
1114 449 julius
Do a @kbd{make distclean} from the synthesis run directory to be sure that the previous bootROM file is cleared away and regenerated when synthesis is run.
1115 415 julius
 
1116
 
1117
@item Clean away old leftovers
1118
 
1119
If the unwanted files from an old synthesis run are still there before the next run, it's best to clean them away with @kbd{make clean} from the synthesis run directory.
1120
 
1121
 
1122
 
1123
@end itemize
1124
 
1125
@node ML501 Synthesised Netlist
1126 468 julius
@subsection Netlist generation
1127 415 julius
 
1128
To create a Verilog HDL netlist of the post-synthesis design, run the following in the board's @code{syn/xst/run} path.
1129
 
1130
@example
1131
@kbd{make orpsoc.v}
1132
@end example
1133
 
1134
@node ML501 Place and Route
1135 468 julius
@section Place and Route
1136 415 julius
 
1137
Place and route of the design can be run from the board's @code{backend/par/run} path with the following command.
1138
 
1139
@example
1140
@kbd{make orpsoc.ncd}
1141
@end example
1142
 
1143
@node ML501 Timing Report
1144 468 julius
@section Post-PAR STA Report
1145 415 julius
 
1146
The @code{trce} tool can be used to generate a timing report of the post-place and route design.
1147
 
1148
@example
1149
@kbd{make timingreport}
1150
@end example
1151
 
1152
@node ML501 Back-annotated Netlist
1153 468 julius
@section Back-annotated Netlist
1154 415 julius
 
1155
A post-PAR back-annotated netlist can be generated with the following command.
1156
 
1157
@example
1158
@kbd{make netlist}
1159
@end example
1160
 
1161
This will make a new directory under the board's @code{backend/par/run} path named @code{netlist} and will contain a Verilog netlist and SDF file with timing information.
1162
 
1163
 
1164
@node ML501 Place and route options
1165 468 julius
@subsection Options
1166 415 julius
 
1167
To get a list of options that can be set when running the backend flow, run the following in the board's @code{backend/par/run} path.
1168
 
1169
@example
1170
@kbd{make print-config}
1171
@end example
1172
 
1173
@node ML501 Constraints
1174 468 julius
@subsection Constraints
1175 415 julius
 
1176
A Xilinx User Constraints File (UCF) file is in the board's @code{backend/par/bin} path. It is named @code{ml501.ucf}. It should be edited if any extra I/O or constraints are required.
1177
 
1178
Eventually it would be good to dynamically generated this, based on what is included in the design, but for now this must be hand modified if modules are added ore removed from the design.
1179
 
1180
@node ML501 Programming File Generation
1181 468 julius
@section Programming File Generation
1182 415 julius
 
1183
Programming file generation is run from the board's @code{backend/par/run} path with the following command.
1184
 
1185
@example
1186
@kbd{make orpsoc.bit}
1187
@end example
1188
 
1189
This file can then be loaded into the Xilinx iMPACT program and programmed onto the Virtex 5 part on the ML501.
1190
 
1191
@node ML501 SPI programming file
1192 468 julius
@subsection SPI programming file generation
1193 415 julius
 
1194
To generate a file, which can be programmed into the SPI flash on the board (and thus allowing the FPGA to be configured without using iMPACT each time) run the following command in the board's @code{backend/par/run} path.
1195
 
1196
@example
1197
@kbd{make orpsoc.mcs}
1198
@end example
1199
 
1200
@node ML501 SPI programming file with software
1201 468 julius
@subsection SPI programming file generation with software
1202 415 julius
 
1203
To generate a file, which can be programmed into the SPI flash on the board (and thus allowing the FPGA to be configured without using iMPACT each time) and also has a bootloader the processor can run (such as ORPmon) run the following command in the board's @code{backend/par/run} path.
1204
 
1205
@example
1206
@kbd{make orpsoc.mcs BOOTLOADER_BIN=/path/to/bootloader-binary-file.bin}
1207
@end example
1208
 
1209
The image is allowed to be up to 256KBytes in size.
1210
 
1211
As the SPI flash on the ML501 is only 2MBytes in size, and the FPGA configuration image takes up almost 1.5MBytes, the final 256KBytes are reserved for a software image to be loaded at reset by the processor.
1212
 
1213
This mark (the last 256KBytes of memory) is at hex address @code{0x1c0000}. This value is passed to the @code{promgen} tool when creating the @code{.mcs} file, and is set in the board's @code{board.h} file so the embedded bootloader in the design knows which address to load from.
1214
 
1215
If changing the address of the bootloader, to accommodate a larger image for example, be sure to update the address in the @code{board.h} file and set the environment variable @code{SPI_BOOTLOADER_SW_OFFSET_HEX} to the hex address to embed the binary image at (hexadecimal value without the leading ``@code{0x}''.) Note that changing the address to load from in @code{board.h} will require the entire design is re synthesized.
1216
 
1217
The file pointed to by @code{BOOTLOADER_BIN} should be a binary image with the  size of the image embedded in the first word.
1218
 
1219
The tool @code{bin2binsizeword} in ORPSoC's @code{sw/utils} path can add the sizeword to a binary image. A binary image is something created with the processor toolchains @code{objcopy -O binary} option. A tool like ORPmon is a good candidate for being embedded in the SPI flash as bootloader software - a binary image is automatically created when it's compiled, usually named @code{orpmon.or32.bin}. To embed that, it would simply need to be passed to the @code{bin2binsizeword} like the following:
1220
 
1221
@example
1222
@kbd{bin2binsizeword /path/to/orpmon/orpmon.or32.bin orpmon-sizeword.bin}
1223
@end example
1224
 
1225
This @code{orpmon-sizeword.bin} file should then be passed via the BOOTLOADER_BIN option when creating the @code{.mcs} file to embed it.
1226
 
1227
If once the FPGA configuration image, and a bootloader image is embedded in the SPI flash, the FPGA can be configured with ORPSoC and then the processor can load the bootloader (like ORPmon) with a single press of the board's @code{PROG} button. This makes developing on the board very easy.
1228
 
1229
@node ML501 SPI flash programming
1230 468 julius
@subsection SPI flash programming
1231 415 julius
 
1232
For a guide on how to actually set up the ML501 board to program the SPI flash, see the section under ``@emph{My Own SPI Flash Image Demonstration}'' on page 26 of the Xilinx UG228 document, http://www.xilinx.com/support/documentation/boards_and_kits/ug228.pdf . Follow steps 1 to 4, and then 9 to 16, and supply the @code{.mcs} file generated here.
1233
 
1234
Be sure to set the @emph{CONFIG} switches to @code{00010101} (left-to-right when Xilinx logo in North-West of board) before attempting to program the SPI flash. The be sure to switch them back to @code{00000101} before attempting to boot the image.
1235
 
1236 479 julius
@emph{Note}: SPI flash programming will require fly-leads from the Xilinx programming cable to the the board. See page 6 of XAPP1053 for a picture of this for a @emph{different} board, but to get the idea: http://www.xilinx.com/support/documentation/application_notes/xapp1053.pdf .
1237 415 julius
 
1238 479 julius
@emph{Note}: If leaving the SPI programming fly leads in place and attempting to boot the image, be sure to remove the @code{Vref} (@code{VCC3V3} on JP2) connection before attempting to boot. Be sure the configuration DIP SW15 is set back to the @code{00000101} position!
1239 415 julius
 
1240 479 julius
@emph{Note:} The other cable from the programmer (going to the JP1 header) @emph{must} be unplugged from the board before attempting to program the SPI flash.
1241
 
1242
 
1243 415 julius
Booting from the SPI flash to ORPmon prompt is about 3 to 4 seconds.
1244
 
1245
 
1246
@node ML501 Customising
1247 468 julius
@section Customising
1248 415 julius
 
1249
The large amount of peripherals on the ML501 means that things will want to be added or removed to suit the design.
1250
 
1251
The following sections have information on how to configure the design.
1252
 
1253
@node ML501 Customising Enabling Existing Modules
1254 468 julius
@subsection Enabling Existing RTL Modules
1255 415 julius
 
1256
The design relies on the Verilog HDL @emph{define} function to indicate which modules are included. See the board's @code{rtl/verilog/include/orpsoc-defines.v} file to determine which options are enabled by uncommented @code{`define} values.
1257
 
1258
These @code{`defines} will correspond to defines in the board's top level RTL file @code{boardpath/rtl/verilog/orpsoc_top/orpsoc_top.v}.
1259
 
1260
There are only a few modules included by default.
1261
 
1262
@itemize @bullet
1263
@item Processor - @emph{or1200}
1264
@item Clock and reset generation - @emph{clkgen}
1265
@item Bus arbiters - @emph{arbiter_ibus}, @emph{arbiter_dbus}, @emph{arbiter_bytebus}
1266
@end itemize
1267
 
1268
The rest are optional, depending on what is defined in the board's @code{rtl/verilog/include/orpsoc-defines.v} file.
1269
 
1270
@node ML501 Customising Adding Modules
1271 468 julius
@subsection Adding RTL Modules
1272 415 julius
 
1273
There are a number of steps to take when adding a new module to the design.
1274
 
1275
@itemize @bullet
1276
@item RTL Files
1277
 
1278
Create a directory under the board's @code{rtl/verilog} directory, and name it the same as the top level of the module.
1279
 
1280
Ensure the module's top level file and actual name of the module when it will be instantiated are @emph{all the same}.
1281
 
1282
Place any include files into the board's @code{rtl/verilog/include} path.
1283
 
1284
@item Instantiate in ORPSoC Top Level File
1285
 
1286
Instantiate the module in the ORPSoC top level file, @code{rtl/verilog/orpsoc_top/orpsoc_top.v}, and be sure to take care of the following.
1287
@itemize @bullet
1288
@item Create appropriate @emph{`define} in @code{orpsoc-defines.v} and surround module instantiation with it.
1289
@item Add required I/Os (surrounded by appropriate @emph{`ifdef })
1290
@item Attach to appropriate bus arbiter, declaring any signals required. Be sure to tie them off if modules is not included.
1291
@item Update appropriate bus arbiter (in board's @code{rtl/verilog/arbiters} path) adding (uncommenting) additional ports as needed.
1292
@item Update board's @code{rtl/verilog/include/orpsoc-params.v} file with appropriate set of parameters for new module, as well as arbiter memory mapping assignment.
1293
@item Attach appropriate clocks and resets, modify the board's @code{rtl/verilog/clkgen/clkgen.v} file generating appropriate clocks if required.
1294
@item Attach any interrupts to the processor's PIC vector in, assigned as the last thing in the file.
1295
@end itemize
1296
 
1297
@item Update ORPSoC Testbench
1298
 
1299
Update the board's @code{bench/verilog/orpsoc_testbench.v} file with appropriate ports (surrounded by appropriate @emph{`ifdef}.)
1300
 
1301
Add any desired models to help test the module to the board's @code{bench/verilog} path and instantiate it correctly in the testbench.
1302
 
1303
@item Add Software Drivers and Tests
1304
 
1305
In a similar fashion to what is already in the board's @code{sw/drivers} and @code{sw/tests} path, create desired driver and test software to be used during simulation (and potentially on target.)
1306
 
1307
@item Update Backend Scripts
1308
 
1309
If any I/O is added, or special timing specified, the board's UCF file will need updating - see @code{boardpath/backend/par/bin/ml501.ucf}.
1310
 
1311
@end itemize
1312
 
1313
@node ML501 Running And Debugging Software
1314 468 julius
@section Running And Debugging Software
1315 415 julius
 
1316
@node ML501 Debug Interface
1317 468 julius
@subsection Debug Interface
1318 415 julius
 
1319
The debug interface uses a separate JTAG tap and some fly-leads must be connected from an @emph{ORSoC USB debugger} (http://opencores.com/shop,item,3) to the ML501.
1320
 
1321
From the USB debugger, a fly lead must take the following signals to the following pins on header J4 on the ML501.
1322
 
1323
@itemize @bullet
1324
@item
1325
tdo - HDR2_6
1326
@item
1327
tdi - HDR2_8
1328
@item
1329
tms - HDR2_10
1330
@item
1331
tck - HDR2_12
1332
@end itemize
1333
 
1334
This corresponds to right-most column of pins on the J4 header, starting on the third row going down.
1335
 
1336
Supply and ground pins must also be hooked up for the USB debugger.
1337
 
1338
The left column of pins on J4 are all tied to ground. All pins on J7 (expansion header located adjacent to J4) are all tied to VCC2V5, 2.5V DC, and this is OK for supplying the buffers on the USB debug cable, and can be used. So essentially put the supply leads anywhere on J7 and ground leads anywhere on the left column of J4.
1339
 
1340
Once the debug interface is connected, the @code{or_debug_proxy} application can be used to provide a stub for GDB to connect to. See http://opencores.org/openrisc,debugging_physical for more information.
1341
 
1342
@node ML501 UART
1343 468 julius
@subsection UART
1344 415 julius
 
1345
There are 2 ways of connecting to the UART in the design.
1346
 
1347
One is via the usual serial port connector, P3, on the ML501. This will obviously require a PC with a serial input and appropriate terminal application.
1348
 
1349
There is also a connection available via the USB debugger mentioned in the previous subsection.
1350
 
1351
The following pins are used for RX/TX to/from the design on header J4.
1352
 
1353
@itemize @bullet
1354
@item
1355
UART RX - HDR2_2
1356
@item
1357
UART TX - HDR2_4
1358
@end itemize
1359
 
1360
Again, supply and ground leads for the UART drivers on the USB debugger can be sourced from J7/left-column J4 as per the debug interface subsection.
1361
 
1362
If both UART and debug interface are connected via the ORSoC USB debugger, this ultimately ends up witht he first 2 pins on the right column of J4 as RX/TX for the UART then the JTAG TDO, TDI, TMS and TCK in succession down the right column of J4.
1363
 
1364
See the ML501 schematic (http://www.xilinx.com/support/documentation/boards_and_kits/ml501_20061010_bw.pdf) for more details on these headers, and refer to the pinouts in the ML501 UCF, in the board's @code{backend/par/bin/ml501.ucf} file.
1365
 
1366 485 julius
 
1367 468 julius
@c ****************************************************************************
1368 485 julius
@c Generic Design build chapter
1369
@c ****************************************************************************
1370
 
1371 492 julius
@node Generic Designs
1372
@chapter Generic Designs
1373 485 julius
@cindex Generic design information
1374
 
1375
@menu
1376
* Overview::
1377
@end menu
1378
 
1379
 
1380
@node Generic Build Overview
1381
@section Overview
1382
 
1383
The paths under @code{boards/generic} contain designs similar to the reference design, in that they are not technology specific, and used for development of certain features of the processor, or peripherals.
1384
 
1385 492 julius
These builds are a TODO, but should provide technology-independent builds, with any specialist modules required to debug, or assist in development or demonstration of a module.
1386 485 julius
 
1387
 
1388
@c ****************************************************************************
1389 468 julius
@c Software section
1390
@c ****************************************************************************
1391 415 julius
 
1392 468 julius
 
1393
@node Software
1394
@chapter Software
1395
 
1396
@cindex software use of @value{ORPSOC}
1397
 
1398
This section details the structure of the software library included in @value{ORPSOC}.
1399
 
1400
@node Software Overview
1401
@section Overview
1402
 
1403
The software provided with ORPSoC is intended to be of sufficient functionality to develop and test the designs, with some additional utility programs for board bring up.
1404
 
1405
The bulk of the software library consists of drivers and tests for the included RTL modules, focusing on the processor. A basic C library, implementing basic support functions such as printf, is included. This alleviates the prerequisite of a compiler with supporting C library installed.
1406
 
1407
Each board port may contain additional software drivers and tests in its own software directory, the structure of which mimics that of the main software directory.
1408
 
1409
@node Software Componenets
1410
@section Components
1411
 
1412
This section outlines the different components of the software library in the @code{sw/} path in the root of @value{ORPSOC}.
1413
 
1414
 
1415
@node Software Components Applications
1416
@subsection Applications
1417
 
1418
There are some included applications, which are neither drivers or tests.
1419
 
1420
Typically these will contain a @code{README} file in their directories which contain information on the software and its use.
1421
 
1422
In general, these are to be run on hardware, and thus will need to be compiled for a specific board. Be sure to pass the @code{BOARD} environment variable when compiling to pick up the appropriate board configuration. @xref{Software For Board Ports} for an example.
1423
 
1424
@node Software Components Drivers
1425
@subsection Drivers
1426
 
1427
Each RTL component may have a driver, which will be compiled into the liborpsoc library and be made available to applications and tests that use the library.
1428
 
1429
Each driver path should contain its source and an include path for driver headers.
1430
 
1431
@node Software Components CPU Drivers
1432
@subsection CPU Drivers
1433
 
1434
An attempt has been made to make the interface to basic CPU functions as generic as possible. This can allow different CPUs to be implemented in @value{ORPSOC}.
1435
 
1436
The header file @code{cpu-utils.h} should be included to gain access to the CPU driver functions, such as timers, special purpose registers, memory access macros, etc. This header will, in turn, include the appropriate CPU driver header.
1437
 
1438
@emph{Note:} What is included in the CPU driver, and how it should be interfaced is not documented yet, but in future every effort should be made to ensure a generic interface to CPU functions is used.
1439
 
1440
At present only the OR1200 has a driver, but it is intended that alternate OpenRISC processors can be implemented into ORPSoC and a driver for it to be easily used in the library.
1441
 
1442
The environment variable @code{CPU_DRIVER} is used to specify which driver is the CPU driver to be used at liborpsoc compile time.
1443
 
1444
@node Software Components Tests
1445
@subsection Tests
1446
 
1447
Each test subdirectory contains directories for each target. Usually there's just @code{sim} and @code{board}, the difference between the two being longer run-time and use of UART for board-targeted tests.
1448
 
1449
@emph{Note:} Test directory names should not contain hyphens or underscores. Test software files should be named with the single test directory name first, followed by a single word, eg. @code{or1200-simple.c}.
1450
 
1451
Test names are referenced using this @code{module}-@code{testname} pair. The automated testing mechanism implemented by the Makefile scripts will always search the @code{sim} paths for tests, rather than the @code{board} paths.
1452
 
1453
@emph{Note:} There is no automated testing mechnism for the board-targeted software yet. It is anticipated that a testing harness for these will be developed, and we encourage users to help solve this problem.
1454
 
1455
@node Software Components Library
1456
@subsection Library
1457
 
1458
The @code{lib} path in the root software directory is where the code for the minimal C library is located, and is the location of the @code{liborpsoc} archive file after its compilation.
1459
 
1460
@node Software Components Board
1461
@subsection Board
1462
 
1463
The @code{board} path in the software directory may, in future, contain other board-specific code, but at present its @code{include} path houses just an important header, @code{board.h} used for configuring the software when compiling programs targeted at a specific board port.
1464
 
1465
This file contains mainly defines of things such as the CPU frequency and timer rate, peripheral base addresses, IRQ numbers, and other board-specific defines. Each board port should contain its own, and is one of the reasons for passing the @code{BOARD} environment variable when compiling software targeted at a specific board port - so its board-specific defines will be used instead of the reference design's.
1466
 
1467
@node Software Components Utilities
1468
@subsection Utilities
1469
 
1470
The @code{utils} path contains tools used to help manipulate binary software images for a variety of purposes. All tools are designed to be run on the host machine, and not on ORPSoC.
1471
 
1472
 
1473
@node Software For Board Ports
1474
@section Software For Board Ports
1475
 
1476
Each board port will have its own software directory, if only to keep its @code{board.h} header file, specifying system parameters specific to the board.
1477
 
1478
It may also contain drivers and tests specific to peripherals for that board.
1479
 
1480
@emph{Note:} For any tests or drivers named the same found in both a board's software path and the root software path, the @emph{board's} software will be used instead.
1481
 
1482
@emph{Note:} When compiling any software in the @emph{root} software path (such as in the applications folder) intended to run on a particular board, make use of the @code{BOARD} variable to indicat which board's configuration (@code{board.h} file, and any board-specific drivers) to use. For example:
1483
 
1484
@example
1485
@kbd{orpsoc/sw/apps/app1$ make app1.elf BOARD=xilinx/ml501}
1486
@end example
1487
 
1488
It's also advisable to do a @code{make distclean} prior to clear out any preexisting libraries that may not contain software appropriate for the targeted board port (it may have been built with the reference design's @code{board.h}, for example.)
1489
 
1490
 
1491
 
1492 397 julius
@c ****************************************************************************
1493
@c End bits
1494
@c ****************************************************************************
1495
 
1496
@node  GNU Free Documentation License
1497
@chapter GNU Free Documentation License
1498
@cindex license for @value{ORPSOC}
1499
 
1500
@include fdl-1.2.texi
1501
 
1502
@node Index
1503
 
1504
@unnumbered Index
1505
 
1506
@printindex cp
1507
 
1508
@bye
1509
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.