OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 354

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####    * Test if each software test file gets made properly      ####
12
####      before it's run in whatever model we're using           ####
13
####    * Expand software test-suite (uClibc, ecos tests, LTP?)   ####
14
####                                                              ####
15
####  Author(s):                                                  ####
16 348 julius
####      - Julius Baxter, julius.baxter@orsoc.se                 ####
17 6 julius
####                                                              ####
18
####                                                              ####
19
######################################################################
20
####                                                              ####
21 348 julius
#### Copyright (C) 2009,2010 Authors and OPENCORES.ORG            ####
22 6 julius
####                                                              ####
23
#### This source file may be used and distributed without         ####
24
#### restriction provided that this copyright statement is not    ####
25
#### removed from the file and that any derivative work contains  ####
26
#### the original copyright notice and the associated disclaimer. ####
27
####                                                              ####
28
#### This source file is free software; you can redistribute it   ####
29
#### and/or modify it under the terms of the GNU Lesser General   ####
30
#### Public License as published by the Free Software Foundation; ####
31
#### either version 2.1 of the License, or (at your option) any   ####
32
#### later version.                                               ####
33
####                                                              ####
34
#### This source is distributed in the hope that it will be       ####
35
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
36
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
37
#### PURPOSE.  See the GNU Lesser General Public License for more ####
38
#### details.                                                     ####
39
####                                                              ####
40
#### You should have received a copy of the GNU Lesser General    ####
41
#### Public License along with this source; if not, download it   ####
42
#### from http://www.opencores.org/lgpl.shtml                     ####
43
####                                                              ####
44
######################################################################
45
 
46
# Usage:
47
#
48
#       make rtl-tests
49
#
50
#       Run the software tests in the RTL model of the ORPSoC being
51 55 julius
#       simulated with an event-driven simulator like Icarus. It's also
52
#       possible to use Modelsim's vsim and Cadence's Verilog simulators.
53 6 julius
#
54
#       make vlt-tests
55
#
56
#       Run all the software tests in the RTL model which has been
57
#       converted into a cycle-accurate SystemC model with Verilator.
58
#
59
#       make sim-tests
60
#
61
#       Run all the software tests in the architectural simulator
62
#
63 40 julius
#
64
# Debugging modes:
65
#
66
#       make rtl-debug
67
#
68
#       Enable a GDB stub integrated into the simulation via VPI. This will
69
#       start a simulation, then the GDB server, and allow the user to connect
70
#       using the OpenRISC GDB port. It should provide the same functionality
71
#       as GDB to a physical target, although a little slower.
72
#       It is provided here as an example of how to compile and run an OpenRISC
73
#       model at RTL level with support for debugging from GDB.
74
#       UART output from printf() is enabled by default. The model loads with
75
#       the dhrystone test running as default, but can be changed by defining
76
#       VPI_TEST_SW at the command line. Logging of the processor's execution
77
#       is also disabled by default to speed up simulation.
78
#
79 6 julius
 
80
# Simulation results:
81
#
82
# The results and output of the event-driven simulations are in the
83
# results path, in parallel to the simulation run and bin paths.
84
 
85
# Specific tests:
86
#
87
# To run an individual test, specify it in the variable TESTS when
88
# calling make, eg:
89
#
90
#        make rtl-tests TESTS="mmu-nocache mul-idcd-O2"
91
 
92
# UART printf:
93
#
94
# It is possible to enable printf to the console via the UART when
95
# running the event-driven simulators. To do this define UART_PRINTF=1
96
# when calling make. The SystemC cycle-acccurate model uses this by
97
# default.
98
# Also note when switching between runs with and without UART printf
99
# enabled, run a clean-sw so the library files are recompiled when
100
# the tests are run - this is not done automatically.
101
 
102
# VCDs:
103
#
104
# VCD (value change dumps, usable in a waveform viewer, such as gtkwave
105
# to inspect the internals of the system graphically) files can be
106
# generated by defining a variable VCD, eg.
107
#
108
#       make rtl-tests VCD=1
109
#
110
# and a dump file will be created in the simulation results directory,
111
# and named according to the test run which generated it. This is
112
# possible for both event-driven and cycle-accurate simulations.
113
# However the cycle-accurate
114
 
115
# NO_SIM_LOGGING:
116
#
117
# It is possible to speed up the event-driven simulation slightly by
118
# disabling log output of the processor's state to files by defining
119
# NO_SIM_LOGGING, eg:
120
#
121
#       make rtl-tests TESTS=except-icdc NO_SIM_LOGGING=1
122
#
123
 
124
# Cleaning:
125
# A simple "make clean" cleans everything - software and all temporary
126
# simulation files and directories. To clean just the software run:
127
#
128
#       make clean-sw
129
#
130
# and to clean just the temporary simulation files (including VCDs,
131
# results logs - everything under, and including, sim/results/, run
132
#
133
#       make clean-sim
134
#
135
 
136
# Note:
137
#
138
# The way each of the test loops is written is probably a bit overly complex
139
# but this is to save maintaining, and calling, multiple files.
140
#
141
 
142
# Model configuration:
143
#
144
# Currently, the ORPSoCv2, by default, contains an internal SRAM (configurable
145
# size - check the defparam in rtl/verilog/orpsoc_top.v), standard OR1200 (check
146
# the config in rtl/verilog/or1200_defines.v) and UART.
147
# Switches can be passed to enable certain parts of the design if testing with
148
# these is desired.
149
#
150
# SDRAM and controller
151
#
152
# To enable the use of SDRAM, define USE_SDRAM when calling the sim -this
153
# only has an effect in the event-driven simulators as the external SDRAM model
154
# is not availble in SystemC format. eg:
155
#
156
#       make rtl-tests USE_SDRAM=1
157
#
158
# This not only enables SDRAM but also enables the booting from external SPI
159
# interfaced flash memory. This causes significant increase in the time taken
160
# for simulation as the program to test is first loaded out of SPI flash memory
161
# and into SDRAM before it is executed. Although this more closely mimics the
162
# behaviour of the hardware, for simulation purposes it is purely time-consuming
163
# however it may be useful to track down any problems with this boot-loading
164 43 julius
# process. Therefore, becuase it enables SDRAM memory, it also enables the flash
165 6 julius
# memory model and SPI controller inside ORPSoC.
166
#
167
# Ethernet
168
#
169
# Ethernet is disabled by default. This is due to the fact that it is not
170
# supported in the verilator/systemC model. Also, there is currently no software
171
# which tests it in any meaningful way.
172
#
173
 
174
#
175
# Event-driven simulation compilation
176
#
177
# The way the event-driven simulations are compiled is simply using the
178
# configuration script file in this directory, currently called icarus.scr -
179
# however it is first processesed to replace the variables, beginning with $'s,
180
# with the appropriate paths. Instead of naming each file to be compiled, the
181
# paths to be searched for each module are instead defined ( -y paths), and
182
# only the toplevel testbench and library source files are explicitly named.
183
# This simplifies the script, and also requires that the name of each verilog
184
# source file is the same as the module it contains (a good convention
185
# regardless.) In addition to the script/command file, defines are passed to
186
# the compiler via the command line in the EVENT_SIM_FLAGS variable.
187
# Additionally, a source file, test_define.v, is created with  some defines
188
# that cannot be passed to the compiled reliably (there are differences between
189
# the way, for instance, icarus and ncverilog parse strings +define+'d on the
190
# command line). This file is then included at the appropriate places.
191
# It is probably not ideal that the entire design be re-compiled for each test,
192
# but currently the design is small enough so that this doesn't cause a
193
# significant overhead, unlike the cycle-accurate model compile time.
194
#
195
 
196
#
197
# SystemC cycle-accurate model compilation
198
#
199
# A new addition to ORPSoC v2 is the cycle-accurate model. The primary enabler
200 44 julius
# behind this is verilator, which processes the RTL source and generates a c++
201
# description of the system. This c++ description is then compiled, with a
202 6 julius
# SystemC wrapper. Finally a top-level SystemC testbench instantiates the
203 44 julius
# model, and other useful modules - in this case a reset generation, UART
204 6 julius
# decoder, and monitor module are included at the top level. These additional
205 44 julius
# modules and models are written in SystemC. Finally, everything is linked with
206
# the cycle-accurate ORPSoC model to create the simulation executable. This
207
# executable is the cycle-representation of the system.
208
#
209 49 julius
# Run the resulting executable with the -h switch for usage.
210 44 julius
#
211
# The compilation is all done with the GNU c++ compiler, g++.
212
#
213
# The compilation process is a little more complicated than the event-driven
214 6 julius
# simulator. It proceeds basically by generating the makefiles for compiling
215
# the design with verilator, running these makes which produces a library
216
# containing the cycle-accurate ORPSoC design, compiling the additional
217
# top-level, and testbench, systemC models into a library, and then linking it
218
# all together into the simulation executable.
219 44 julius
#
220 6 julius
# The major advantage of the cycle-accurate model is that it is quicker, in
221
# terms of simulated cycles/second, when compared with event-driven simulators.
222
# It is, of course, less accurate in that it cannot model propegation delays.
223
# However this is usually not an issue for simulating a design which is known
224
# to synthesize and run OK. It is very useful for running complex software,
225
# such as the linux kernel and real-time OS applications, which generally
226 44 julius
# result in long simulation times.
227
#
228 6 julius
# Currently the cycle-accurate model being used doesn't contain much more than
229
# the processor and a UART, however it's exepected in future this will be
230
# expanded on and more complex software test suites will be implemented to put
231
# the system through its paces.
232
#
233 44 julius
#
234 49 julius
#
235 6 julius
 
236 44 julius
# Name of the directory we're currently in
237 6 julius
CUR_DIR=$(shell pwd)
238
 
239
# The root path of the whole project
240 67 julius
PROJECT_ROOT ?=$(CUR_DIR)/../..
241 6 julius
 
242
# Tests is only defined if it wasn't already defined when make was called
243
# This is the default list of every test that is currently possible
244 351 julius
 
245
TESTS ?= or1200-simple or1200-cbasic or1200-dctest or1200-float or1200-mmu or1200asm-basic or1200asm-except or1200asm-linkregtest or1200asm-tick or1200asm-ticksyscall uart-simple
246 348 julius
#basic-nocache cbasic-nocache-O2 dhry-nocache-O2 except-nocache mmu-nocache mul-nocache-O2 syscall-nocache tick-nocache uart-nocache basic-icdc cbasic-icdc-O2 dhry-icdc-O2 except-icdc mmu-icdc mul-icdc-O2 syscall-icdc tick-icdc uart-icdc
247 6 julius
 
248
# Paths to other important parts of this test suite
249 67 julius
SIM_DIR ?=$(PROJECT_ROOT)/sim
250 6 julius
SIM_RUN_DIR=$(SIM_DIR)/run
251
SIM_BIN_DIR=$(SIM_DIR)/bin
252
SIM_RESULTS_DIR=$(SIM_DIR)/results
253
SIM_VLT_DIR=$(SIM_DIR)/vlt
254
BENCH_DIR=$(PROJECT_ROOT)/bench
255 67 julius
BACKEND_DIR ?=$(PROJECT_ROOT)/backend
256 6 julius
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
257 67 julius
BENCH_TOP_VERILOG_DIR ?= $(BENCH_DIR)/verilog
258 6 julius
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
259
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
260
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
261
RTL_VERILOG_DIR=$(PROJECT_ROOT)/rtl/verilog
262
SW_DIR=$(PROJECT_ROOT)/sw
263
 
264
ICARUS=iverilog
265
ICARUS_VVP=vvp
266 58 julius
VSIM_COMP=vlog
267
VSIM=vsim
268
NCVERILOG=ncverilog
269 77 rherveille
SILOS=silos
270 6 julius
ICARUS_COMMAND_FILE=icarus.scr
271
VLT_COMMAND_FILE=verilator.scr
272 348 julius
SIM_SUCCESS_MESSAGE=8000000d
273 55 julius
MGC_COMMAND_FILE=modelsim.scr
274 6 julius
 
275
ARCH_SIM_EXE=or32-elf-sim
276
ARCH_SIM_CFG_FILE=or1ksim-orpsocv2.cfg
277
 
278 57 julius
# Set V=1 when calling make to enable verbose output
279
# mainly for debugging purposes.
280
ifeq ($(V), 1)
281
Q=
282
else
283
Q=@
284
endif
285
 
286 6 julius
# If USE_SDRAM is defined we'll add it to the simulator's defines on the
287
# command line becuase it's used by many different modules and it's easier
288
# to do it this way than make them all include a file.
289
ifdef USE_SDRAM
290 68 julius
EVENT_SIM_FLAGS +=USE_SDRAM=$(USE_SDRAM)
291 6 julius
endif
292
 
293 58 julius
# Enable ethernet if defined on the command line
294
ifdef USE_ETHERNET
295 68 julius
EVENT_SIM_FLAGS +=USE_ETHERNET=$(USE_ETHERNET) USE_ETHERNET_IO=$(USE_ETHERNET)
296 58 julius
# Extra tests we do if ethernet is enabled
297
TESTS += eth-basic eth-int
298
endif
299
 
300 68 julius
DASH_D_EVENT_SIM_FLAGS=$(shell for flag in $(EVENT_SIM_FLAGS); do echo "-D "$$flag; done)
301
PLUS_DEFINE_EVENT_SIM_FLAGS=$(shell for flag in $(EVENT_SIM_FLAGS); do echo "+define+"$$flag; done)
302
 
303 58 julius
#Default simulator is Icarus Verilog
304
# Set SIMULATOR=vsim to use Modelsim
305
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog
306
SIMULATOR ?= $(ICARUS)
307
 
308
# Set the command file to use, simulator dependent
309
ifeq ($(SIMULATOR), $(ICARUS))
310 55 julius
# Icarus Verilog Simulator
311
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
312
endif
313 51 julius
 
314 58 julius
ifeq ($(SIMULATOR), $(VSIM))
315
# Modelsim has own command file (it's a little more stupid than Icarus & NC)
316
SIM_COMMANDFILE=$(MGC_COMMAND_FILE)
317
endif
318
 
319
ifeq ($(SIMULATOR), $(NCVERILOG))
320
# NCVerilog uses same command file as Icarus
321
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
322
endif
323
 
324 77 rherveille
ifeq ($(SIMULATOR), $(SILOS))
325
# SILOS uses same command file as Icarus (this should be default)
326
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
327
endif
328
 
329
 
330 55 julius
GENERATED_COMMANDFILE=$(SIM_COMMANDFILE).generated
331 51 julius
 
332 55 julius
# When Modelsim is selected as simulator, we compile
333
# the ORPSoC system into one library called orpsoc and
334
# then simply re-compile the testbench and or1200_monitor
335
# whenever we run the simulation, so just that part is
336
# recompiled for every test, instead of the whole thing.
337
MGC_ORPSOC_LIB=orpsoc
338
MGC_ORPSOC_LIB_DIR=$(SIM_RUN_DIR)/$(MGC_ORPSOC_LIB)
339
 
340
# If VCD dump is desired, tell Modelsim not to optimise
341
# away everything.
342
ifeq ($(VCD), 1)
343
VOPT_ARGS=-voptargs="+acc=rnp"
344
endif
345
 
346 67 julius
# RTL testbench toplevel name
347
RTL_TESTBENCH_TOP ?= orpsoc_testbench
348
 
349 55 julius
# Simulation compile and run commands, depending on your
350 58 julius
# simulator.
351
 
352
# Icarus Verilog
353
ifeq ($(SIMULATOR), $(ICARUS))
354
# Icarus Verilog Simulator compile and run commands
355 348 julius
SIM_COMMANDCOMPILE=rm -f $(SIM_RUN_DIR)/rtlsim.elf; $(ICARUS) -s$(RTL_TESTBENCH_TOP) -c $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) -o rtlsim.elf $(DASH_D_EVENT_SIM_FLAGS)
356 58 julius
# Icarus Verilog run command
357 348 julius
SIM_COMMANDRUN=$(ICARUS_VVP) -l $(SIM_RESULTS_DIR)/$$TEST-vvp-out.log rtlsim.elf
358 58 julius
endif
359
 
360
# Modelsim
361
ifeq ($(SIMULATOR), $(VSIM))
362 55 julius
# Line to compile the orpsoc design into a modelsim library.
363 68 julius
SIM_COMMANDCOMPILE=if [ ! -e work ]; then vlib work; vlib $(MGC_ORPSOC_LIB); vlog -work $(MGC_ORPSOC_LIB) -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) $(PLUS_DEFINE_EVENT_SIM_FLAGS); fi
364 55 julius
# Final modelsim compile, done each time, pulling in or1200
365
# monitor and the new test_defines.v file:
366 68 julius
VSIM_COMPILE_TB=vlog +incdir+. +incdir+$(BENCH_VERILOG_DIR) -y $(BENCH_VERILOG_DIR) +libext+.v +incdir+$(BENCH_TOP_VERILOG_DIR) +incdir+$(RTL_VERILOG_DIR) +define+TEST_DEFINE_FILE $(PLUS_DEFINE_EVENT_SIM_FLAGS) $(BENCH_TOP_VERILOG_DIR)/$(RTL_TESTBENCH_TOP).v
367 55 julius
# Simulation run command:
368 67 julius
SIM_COMMANDRUN=$(VSIM_COMPILE_TB); $(VSIM) -c -quiet +nowarnTFMPC -L $(MGC_ORPSOC_LIB) $(VOPT_ARGS) -do "run -all; exit" $(RTL_TESTBENCH_TOP)
369 55 julius
endif
370
 
371 58 julius
# NCVerilog
372
ifeq ($(SIMULATOR), $(NCVERILOG))
373
SIM_COMMANDCOMPILE=echo
374
SIM_COMMANDRUN=$(NCVERILOG) -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) -Q -l $(SIM_RESULTS_DIR)/$$TEST-$(NCVERILOG)-out.log $(EVENT_SIM_FLAGS)
375 6 julius
endif
376
 
377 77 rherveille
# Silos
378
ifeq ($(SIMULATOR), $(SILOS))
379
SIM_COMMANDCOMPILE=echo
380 78 rherveille
SIM_COMMANDRUN=$(SILOS) -b -w +width_mistmatches -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) -l $(SIM_RESULTS_DIR)/$$TEST-$(SILOS)-out.log $(EVENT_SIM_FLAGS)
381 77 rherveille
endif
382
 
383 354 julius
DIVIDE_LINE=
384 77 rherveille
 
385 58 julius
# Names of memory files used in simulation
386 6 julius
SIM_FLASH_MEM_FILE="flash.in"
387
FLASH_MEM_FILE_SUFFIX="-twobyte-sizefirst.hex"
388
SIM_SRAM_MEM_FILE="sram.vmem"
389
 
390
TESTS_PASSED=0
391
TESTS_PERFORMED=0;
392
 
393
################################################################################
394 58 julius
# Event-driven simulator build rules
395 6 julius
################################################################################
396
 
397 51 julius
$(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v:
398
        @cd $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl && perl fizzim.pl -encoding onehot -terse < wb_sdram_ctrl_fsm.fzm > wb_sdram_ctrl_fsm.v
399 6 julius
 
400 57 julius
.PHONY: prepare-rtl
401
prepare-rtl: $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
402 6 julius
 
403 55 julius
$(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE): $(SIM_BIN_DIR)/$(SIM_COMMANDFILE)
404 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(SIM_COMMANDFILE) > $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) \
405 55 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
406
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
407
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
408
                -e \\!^//.*\$$!d -e \\!^\$$!d ; \
409
        echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
410
        if [ ! -z $$VCD ]; \
411
                then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
412 58 julius
                if [ $(SIMULATOR) = $(NCVERILOG) ]; \
413
                        then echo "+access+r" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
414
                fi; \
415 55 julius
        fi; \
416
        if [ ! -z $$UART_PRINTF ]; \
417
                then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
418 58 julius
        fi; \
419
        if [ $(SIMULATOR) = $(NCVERILOG) ]; \
420
                then echo "+nocopyright" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
421
                echo "+nowarn+MACRDF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
422 55 julius
        fi
423 51 julius
 
424 6 julius
ifdef UART_PRINTF
425 44 julius
TEST_SW_MAKE_OPTS="UART_PRINTF=1"
426 6 julius
endif
427
 
428 57 julius
.PHONY: prepare-sw
429
prepare-sw:
430
        $(Q)$(MAKE) -C $(SW_DIR)/support all $(TEST_SW_MAKE_OPTS)
431
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
432 6 julius
 
433
# A rule with UART_PRINTF hard defined ... used by verilator make sw
434 57 julius
prepare-sw-uart-printf:
435
        $(Q)$(MAKE) -C $(SW_DIR)/support all UART_PRINTF=1 $(TEST_SW_MAKE_OPTS)
436
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
437 6 julius
 
438 57 julius
prepare-dirs:
439
        $(Q)if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
440 6 julius
 
441 55 julius
#
442
# Rough guide to how event driven simulation test loop works:
443
#
444
# 1. Compile software support programs.
445
# 2. Generate RTL compilation script file
446
# 3. For each test listed in $(TESTS), loop and
447
#       a) Compile software
448
#       b) Create appropriate image to be loaded into sim
449
#       c) Create a verilog file to be included by top level
450
#       d) Compile the RTL design
451
#       e) Run the RTL design in the chosen simulator
452
#       f) Check the output (files in ../results)
453
#
454
# Default setup is:
455
#       * Event-driven simulation with Icarus Verilog
456
#       * Internal SRAM memory, preloaded with application
457
#       * Ethernet disabled
458
#       * VCD generation disabled
459
#       * printf() via UART disabled
460
#       * Logging enabled
461
#
462
# Options:
463
#       SIMULATOR=vsim
464
#               Use Mentor Graphics Modelsim simulator
465 58 julius
#       SIMULATOR=ncverilog
466
#               Use Cadence's NC-Verilog
467 55 julius
#       USE_SDRAM=1
468
#               Enable use of SDRAM - changes boot sequence and takes
469
#               a lot longer due to application being loaded out of
470
#               external FLASH memory and into SDRAM before execution
471
#               from the SDRAM.
472
#       VCD=1
473
#               Enable VCD generation. These files are output to
474
#               ../results
475
#       USE_ETHERNET=1
476
#               Turns on ethernet core inclusion. There are currently
477
#               some tests, but not included by default. Check the sw
478
#               directory
479
#       UART_PRINTF=1
480
#               Make the software use the UART core to print out
481
#               printf() calls.
482
#       NO_SIM_LOGGING=1
483
#               Turn off generation of logging files in the ../results
484
#               directory.
485
#
486 57 julius
rtl-tests: $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) prepare-sw prepare-rtl prepare-dirs
487 6 julius
        @echo
488
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
489
        @echo
490 57 julius
        $(Q)for TEST in $(TESTS); do \
491 6 julius
                echo "################################################################################"; \
492
                echo; \
493
                echo "\t#### Current test: $$TEST ####"; echo; \
494
                echo "\t#### Compiling software ####"; echo; \
495
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
496 348 julius
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST.vmem $(TEST_SW_MAKE_OPTS); \
497 6 julius
                rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
498
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
499
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
500
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
501 55 julius
                echo "\`define TEST_NAME_STRING \"$$TEST\"" > $(SIM_RUN_DIR)/test_define.v; \
502
                echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v; \
503 6 julius
                if [ ! -z $$VCD ]; \
504 55 julius
                        then echo "\`define VCD" >> $(SIM_RUN_DIR)/test_define.v; \
505 6 julius
                fi; \
506
                if [ ! -z $$UART_PRINTF ]; \
507 55 julius
                        then echo "\`define UART_PRINTF" >> $(SIM_RUN_DIR)/test_define.v; \
508 6 julius
                fi; \
509 44 julius
                if echo $$TEST | grep -q -i ^eth; then \
510
                        echo "\`define ENABLE_ETH_STIM" >> $(SIM_RUN_DIR)/test_define.v; \
511
                        echo "\`define ETH_PHY_VERBOSE" >> $(SIM_RUN_DIR)/test_define.v; \
512
                fi; \
513 43 julius
                if [ -z $$NO_SIM_LOGGING ]; then \
514 6 julius
                        echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; \
515
                fi; \
516
                echo ; \
517
                echo "\t#### Compiling RTL ####"; \
518 55 julius
                $(SIM_COMMANDCOMPILE); \
519 6 julius
                echo; \
520
                echo "\t#### Beginning simulation ####"; \
521 55 julius
                time -p $(SIM_COMMANDRUN) ; \
522 78 rherveille
                if [ "$$SIMULATOR" != "$$SILOS" ]; then if [ $$? -gt 0 ]; then exit $$?; fi; fi; \
523 348 julius
                TEST_RESULT=`cat $(SIM_RESULTS_DIR)/$$TEST-general.log | grep $(SIM_SUCCESS_MESSAGE) -c`; \
524 6 julius
                echo; echo "\t####"; \
525
                if [ $$TEST_RESULT -gt 0 ]; then \
526
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
527
                else    echo "\t#### Test $$TEST FAILED ####";\
528
                fi; \
529
                echo "\t####"; echo; \
530
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
531
        done; \
532
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
533
 
534
################################################################################
535 40 julius
# RTL simulation in Icarus with GDB stub via VPI for debugging
536
################################################################################
537
# This compiles a version of the system which starts up the dhrystone nocache
538
# test, and launches the simulator with a VPI module that provides a GDB stub
539
# allowing the OpenRISC compatible GDB to connect and debug the system.
540
# The launched test can be changed by defining VPI_TEST_SW on the make line
541
VPI_DIR=$(BENCH_VERILOG_DIR)/vpi
542
VPI_C_DIR=$(VPI_DIR)/c
543
VPI_VERILOG_DIR=$(VPI_DIR)/verilog
544
VPI_LIB_NAME=jp_vpi
545
ICARUS_VPI_OPTS=-M$(VPI_C_DIR) -m$(VPI_LIB_NAME)
546
VPI_TEST_SW ?= dhry-nocache-O2
547
 
548 57 julius
prepare-vpi:
549 40 julius
## Build the VPI library
550
        $(MAKE) -C $(VPI_C_DIR) $(VPI_LIB_NAME)
551
 
552 49 julius
clean-vpi:
553 40 julius
        $(MAKE) -C $(VPI_C_DIR) clean
554
 
555 57 julius
rtl-debug: prepare-sw-uart-printf prepare-rtl prepare-vpi prepare-dirs
556 40 julius
## Prepare the software for the test
557
        @echo "\t#### Compiling software ####"; echo; \
558
        CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $(VPI_TEST_SW) | cut -d "-" -f 1`; \
559
        $(MAKE) -C $$CURRENT_TEST_SW_DIR $(VPI_TEST_SW) $(TEST_SW_MAKE_OPTS); \
560
        rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
561
        rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
562
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW)$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
563
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW).vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE)
564
## Generate the icarus script we'll compile with
565 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(ICARUS_COMMAND_FILE) > $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated \
566 40 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
567
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
568
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
569
                -e \\!^//.*\$$!d -e \\!^\$$!d
570
## Add a couple of extra defines to the icarus compile script
571 57 julius
        $(Q)echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
572 40 julius
## The define that enables the VPI debug module
573 57 julius
        $(Q)echo "+define+VPI_DEBUG_ENABLE" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
574
        $(Q)if [ ! -z $$VCD ];then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated;fi
575 40 julius
## Unless NO_UART_PRINTF=1 we use printf via the UART
576 57 julius
        $(Q)if [ -z $$NO_UART_PRINTF ];then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; fi
577
        $(Q)echo "\`define TEST_NAME_STRING \"$(VPI_TEST_SW)-vpi\"" > $(SIM_RUN_DIR)/test_define.v
578
        $(Q)echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v
579
        $(Q)if [ -z $$NO_SIM_LOGGING ]; then echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; fi
580 40 julius
        @echo
581
        @echo "\t#### Compiling RTL ####"
582 348 julius
        $(Q)rm -f $(SIM_RUN_DIR)/rtlsim.elf
583
        $(Q)$(ICARUS) -s$(RTL_TESTBENCH_TOP) -c $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated -o rtlsim.elf $(EVENT_SIM_FLAGS)
584 40 julius
        @echo
585
        @echo "\t#### Beginning simulation with VPI debug module enabled ####"; echo
586 348 julius
        $(Q)$(ICARUS_VVP) $(ICARUS_VPI_OPTS) -l $(SIM_RESULTS_DIR)/$(VPI_TEST_SW)-vvp-out.log rtlsim.elf
587 40 julius
 
588
################################################################################
589 6 julius
# Verilator model build rules
590
################################################################################
591
 
592
 
593
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
594
 
595
 
596
# List of System C models - use this list to link the sources into the Verilator
597
# build directory
598 51 julius
SYSC_MODELS=OrpsocAccess MemoryLoad
599 6 julius
 
600 49 julius
ifdef VLT_DEBUG
601
VLT_DEBUG_COMPILE_FLAGS = -g
602
# Enabling the following generates a TON of debugging
603
# when running verilator. Not so helpful.
604
#VLT_DEBUG_OPTIONS = --debug --dump-tree
605
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
606 6 julius
endif
607
 
608 49 julius
# If set on the command line we build the cycle accurate model which will generate verilator-specific profiling information. This is useful for checking the efficiency of the model - not really useful for checking code or the function of the model.
609
ifdef VLT_ORPSOC_PROFILING
610 63 julius
VLT_CPPFLAGS +=-pg
611 49 julius
VLT_DEBUG_OPTIONS +=-profile-cfuncs
612
else
613 63 julius
VLT_CPPFLAGS +=-fprofile-use -Wcoverage-mismatch
614 53 julius
#VLT_CPPFLAGS=-Wall
615 49 julius
endif
616
 
617 63 julius
# Set VLT_IN_GDB=1 when making if going to run the cycle accurate model executable in GDB to check suspect behavior. This also removes optimisation.
618
ifdef VLT_IN_GDB
619
VLT_CPPFLAGS +=-g -O0
620
else
621
# The default optimisation flag applied to all of the cycle accurate model files
622
VLT_CPPFLAGS +=-O3
623
endif
624
 
625 49 julius
ifdef VLT_DO_PROFILING
626 63 julius
VLT_CPPFLAGS +=-ftest-coverage -fprofile-arcs -fprofile-generate
627 49 julius
endif
628
 
629
# VCD Enabled by default when building, enable it at runtime
630
#ifdef VCD
631
VLT_FLAGS +=-trace
632
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
633
#endif
634
 
635 6 julius
# Only need the trace target if we are tracing
636 49 julius
#ifneq (,$(findstring -trace, $(VLT_FLAGS)))
637 70 julius
VLT_TRACEOBJ = verilated_vcd_c
638 49 julius
#endif
639 6 julius
 
640
# This is the list of extra models we'll issue make commands for
641
# Included is the SystemPerl trace model
642
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
643
 
644 63 julius
prepare-vlt: prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
645 54 julius
        @echo;echo "\tCycle-accurate model compiled successfully"
646
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
647
        $(SIM_VLT_DIR)/Vorpsoc_top -h
648
        @echo;echo
649 6 julius
 
650
$(SIM_VLT_DIR)/Vorpsoc_top: $(SIM_VLT_DIR)/libVorpsoc_top.a $(SIM_VLT_DIR)/OrpsocMain.o
651
# Final linking of the simulation executable. Order of libraries here is important!
652
        @echo; echo "\tGenerating simulation executable"; echo
653 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o Vorpsoc_top -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) OrpsocMain.o -lVorpsoc_top -lmodules -lsystemc
654 6 julius
 
655 51 julius
# Now compile the top level systemC "testbench" module from the systemC source path
656
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
657 6 julius
        @echo; echo "\tCompiling top level SystemC testbench"; echo
658 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
659 6 julius
 
660 57 julius
$(SIM_VLT_DIR)/libVorpsoc_top.a: $(SIM_VLT_DIR)/Vorpsoc_top__ALL.a vlt-modules-compile $(SIM_VLT_DIR)/verilated.o
661 6 julius
# Now archive all of the libraries from verilator witht he other modules we might have
662
        @echo; echo "\tArchiving libraries into libVorpsoc_top.a"; echo
663 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
664 6 julius
        cp Vorpsoc_top__ALL.a libVorpsoc_top.a && \
665
        ar rcs libVorpsoc_top.a verilated.o; \
666
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
667
                ar rcs libVorpsoc_top.a $$SYSCMODEL.o; \
668
        done
669
 
670
$(SIM_VLT_DIR)/verilated.o:
671
        @echo; echo "\tCompiling verilated.o"; echo
672 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
673 49 julius
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
674
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
675
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
676 6 julius
        $(MAKE) -f Vorpsoc_top.mk verilated.o
677
 
678 57 julius
.PHONY: vlt-modules-compile
679
vlt-modules-compile:
680 6 julius
# Compile the module files
681
        @echo; echo "\tCompiling SystemC models"
682 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
683 6 julius
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
684
                echo;echo "\t$$SYSCMODEL"; echo; \
685 49 julius
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
686 51 julius
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
687 49 julius
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
688
                 $(MAKE) -f Vorpsoc_top.mk $$SYSCMODEL.o; \
689
        done
690 6 julius
 
691
$(SIM_VLT_DIR)/Vorpsoc_top__ALL.a: $(SIM_VLT_DIR)/Vorpsoc_top.mk
692
        @echo; echo "\tCompiling main design"; echo
693 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
694 49 julius
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
695
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
696 6 julius
        $(MAKE) -f Vorpsoc_top.mk Vorpsoc_top__ALL.a
697
 
698
$(SIM_VLT_DIR)/Vorpsoc_top.mk: $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated $(SIM_VLT_DIR)/libmodules.a
699
# Now call verilator to generate the .mk files
700
        @echo; echo "\tGenerating makefiles with Verilator"; echo
701
        cd $(SIM_VLT_DIR) && \
702 49 julius
        verilator -language 1364-2001 -Wno-lint --top-module orpsoc_top $(VLT_DEBUG_OPTIONS) -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(VLT_COMMAND_FILE).generated
703 6 julius
 
704
# SystemC modules library
705
$(SIM_VLT_DIR)/libmodules.a:
706
        @echo; echo "\tCompiling SystemC modules"; echo
707 57 julius
        $(Q)export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
708 49 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
709 6 julius
 
710
 
711 51 julius
ALL_VLOG=$(shell find $(RTL_VERILOG_DIR) -name "*.v")
712
 
713 6 julius
# Verilator command script
714 51 julius
# Generate the compile script to give Verilator - make it sensitive to the RTL
715
$(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated: $(ALL_VLOG)
716 6 julius
        @echo; echo "\tGenerating verilator compile script"; echo
717 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(VLT_COMMAND_FILE) > $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated \
718 6 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
719
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
720
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
721
                -e \\!^//.*\$$!d -e \\!^\$$!d;
722
 
723 63 julius
.PHONY: vlt-model-links
724
vlt-model-links:
725 6 julius
# Link all the required system C model files into the verilator work dir
726
        @echo; echo "\tLinking SystemC model source to verilator build path"; echo
727
        @if [ ! -d $(SIM_VLT_DIR) ]; then mkdir $(SIM_VLT_DIR); fi
728 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
729 6 julius
        for SYSCMODEL in $(SYSC_MODELS); do \
730
                if [ ! -e $$SYSCMODEL.cpp ]; then \
731
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp .; \
732
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h .; \
733
                fi; \
734
        done
735
 
736
 
737
################################################################################
738
# Verilator test loop
739
################################################################################
740
 
741
# Verilator defaults to internal memories
742 66 julius
vlt-tests: prepare-sw prepare-rtl prepare-dirs prepare-vlt
743 6 julius
        @echo
744
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
745
        @echo
746 57 julius
        $(Q)for TEST in $(TESTS); do \
747 6 julius
                echo "################################################################################"; \
748
                echo; \
749
                echo "\t#### Current test: $$TEST ####"; echo; \
750
                echo "\t#### Compiling software ####"; echo; \
751
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
752 348 julius
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST.vmem $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
753 6 julius
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
754
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
755
                echo "\t#### Beginning simulation ####"; \
756
                time -p $(SIM_VLT_DIR)/Vorpsoc_top $$TEST; \
757
                if [ $$? -gt 0 ]; then exit $$?; fi; \
758
                TEST_RESULT=1; \
759
                echo; echo "\t####"; \
760
                if [ $$TEST_RESULT -gt 0 ]; then \
761
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
762
                else    echo "\t#### Test $$TEST FAILED ####";\
763
                fi; \
764
                echo "\t####"; echo; \
765
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
766
        done; \
767
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
768
 
769 49 julius
###############################################################################
770
# Verilator profiled module make
771
###############################################################################
772 57 julius
# To run this, first run a "make prepare-vlt VLT_DO_PROFILING=1" then do a
773
# "make clean" and then a "make prepare-vlt_profiled"
774 49 julius
# This new make target copies athe results of the profiling back to the right
775
# paths before we create everything again
776
###############################################################################
777 63 julius
.PHONY: prepare-vlt-profiled
778
prepare-vlt-profiled: $(SIM_VLT_DIR)/OrpsocMain.gcda clean vlt-restore-profileoutput prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
779 6 julius
 
780 63 julius
$(SIM_VLT_DIR)/OrpsocMain.gcda: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling prepare-sw-uart-printf
781 354 julius
        $(MAKE) -C $(SW_DIR)/dhry dhry.elf NUM_RUNS=2000
782
        $(SIM_VLT_DIR)/Vorpsoc_top -f $(SW_DIR)/dhry/dhry.elf -v -l sim.log --crash-monitor
783 63 julius
 
784
.PHONY: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling
785
$(SIM_VLT_DIR)/Vorpsoc_top-for-profiling:
786
        $(MAKE) prepare-vlt VLT_DO_PROFILING=1
787
 
788
.PHONY: vlt-restore-profileoutput
789 57 julius
vlt-restore-profileoutput:
790 49 julius
        @echo;echo "\tRestoring profiling outputs"; echo
791 57 julius
        $(Q)mkdir -p ../vlt
792
        $(Q)cp /tmp/*.gc* $(SIM_VLT_DIR)
793
        $(Q)cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
794 6 julius
 
795
################################################################################
796
# Architectural simulator test loop
797
################################################################################
798
 
799 66 julius
sim-tests: prepare-sw
800 6 julius
        @if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
801
        @echo
802
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
803
        @echo
804 57 julius
        $(Q)for TEST in $(TESTS); do \
805 6 julius
                echo "################################################################################"; \
806
                echo; \
807
                echo "\t#### Current test: $$TEST ####"; echo; \
808
                echo "\t#### Compiling software ####"; echo; \
809
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
810 354 julius
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST.elf $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
811
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.elf $(SIM_RUN_DIR)/.; \
812 6 julius
                echo;echo "\t#### Launching architectural simulator ####"; \
813 354 julius
                time -p $(ARCH_SIM_EXE) --nosrv -f $(SIM_BIN_DIR)/$(ARCH_SIM_CFG_FILE) $$TEST.elf > $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log 2>&1; \
814 6 julius
                if [ $$? -gt 0 ]; then exit $$?; fi; \
815
                if [ `tail -n 10 $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log | grep -c $(SIM_SUCCESS_MESSAGE)` -gt 0 ]; then \
816
                        TEST_RESULT=1; \
817
                fi; \
818
                echo; echo "\t####"; \
819
                if [ $$TEST_RESULT -gt 0 ]; then \
820
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
821
                else    echo "\t#### Test $$TEST FAILED ####";\
822
                fi; \
823
                echo "\t####"; echo; \
824
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
825 354 julius
                unlink $(SIM_RUN_DIR)/$$TEST.elf; \
826 6 julius
        done; \
827
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
828
 
829
 
830
 
831
################################################################################
832
# Cleaning rules
833
################################################################################
834
 
835 69 julius
dist-clean: clean
836
        $(MAKE) -C $(SW_DIR)/utils clean
837
 
838 49 julius
clean: clean-sw clean-sim clean-sysc clean-rtl clean-vpi
839 6 julius
 
840
clean-sw:
841 69 julius
        @for SWDIR in `ls $(SW_DIR) | grep -v utils`; do \
842 44 julius
                echo $$SWDIR; \
843
                $(MAKE) -C $(SW_DIR)/$$SWDIR clean; \
844 6 julius
        done
845
 
846
clean-sim:
847 49 julius
#backup any profiling output files
848 51 julius
        @if [ -f $(SIM_VLT_DIR)/OrpsocMain.gcda ]; then echo;echo "\tBacking up verilator profiling output to /tmp"; echo; \
849 49 julius
        cp $(SIM_VLT_DIR)/*.gc* /tmp; \
850
        cp $(BENCH_SYSC_SRC_DIR)/*.gc* /tmp; fi
851 55 julius
        rm -rf $(SIM_RESULTS_DIR) $(SIM_RUN_DIR)/*.* $(SIM_VLT_DIR) $(MGC_ORPSOC_LIB_DIR) $(SIM_RUN_DIR)/work $(SIM_RUN_DIR)/transcript
852 36 julius
 
853
clean-sysc:
854
# Clean away dependency files generated by verilator
855 42 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make clean
856 36 julius
 
857
clean-rtl:
858
# Clean away temporary verilog source files
859 44 julius
        rm -f $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
860
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.