OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####    * Test if each software test file gets made properly      ####
12
####      before it's run in whatever model we're using           ####
13
####    * Expand software test-suite (uClibc, ecos tests, LTP?)   ####
14
####                                                              ####
15
####  Author(s):                                                  ####
16
####      - jb, jb@orsoc.se                                       ####
17
####                                                              ####
18
####                                                              ####
19
######################################################################
20
####                                                              ####
21
#### Copyright (C) 2009 Authors and OPENCORES.ORG                 ####
22
####                                                              ####
23
#### This source file may be used and distributed without         ####
24
#### restriction provided that this copyright statement is not    ####
25
#### removed from the file and that any derivative work contains  ####
26
#### the original copyright notice and the associated disclaimer. ####
27
####                                                              ####
28
#### This source file is free software; you can redistribute it   ####
29
#### and/or modify it under the terms of the GNU Lesser General   ####
30
#### Public License as published by the Free Software Foundation; ####
31
#### either version 2.1 of the License, or (at your option) any   ####
32
#### later version.                                               ####
33
####                                                              ####
34
#### This source is distributed in the hope that it will be       ####
35
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
36
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
37
#### PURPOSE.  See the GNU Lesser General Public License for more ####
38
#### details.                                                     ####
39
####                                                              ####
40
#### You should have received a copy of the GNU Lesser General    ####
41
#### Public License along with this source; if not, download it   ####
42
#### from http://www.opencores.org/lgpl.shtml                     ####
43
####                                                              ####
44
######################################################################
45
 
46
# Usage:
47
#
48
#       make rtl-tests
49
#
50
#       Run the software tests in the RTL model of the ORPSoC being
51 55 julius
#       simulated with an event-driven simulator like Icarus. It's also
52
#       possible to use Modelsim's vsim and Cadence's Verilog simulators.
53 6 julius
#
54
#       make vlt-tests
55
#
56
#       Run all the software tests in the RTL model which has been
57
#       converted into a cycle-accurate SystemC model with Verilator.
58
#
59
#       make sim-tests
60
#
61
#       Run all the software tests in the architectural simulator
62
#
63 40 julius
#
64
# Debugging modes:
65
#
66
#       make rtl-debug
67
#
68
#       Enable a GDB stub integrated into the simulation via VPI. This will
69
#       start a simulation, then the GDB server, and allow the user to connect
70
#       using the OpenRISC GDB port. It should provide the same functionality
71
#       as GDB to a physical target, although a little slower.
72
#       It is provided here as an example of how to compile and run an OpenRISC
73
#       model at RTL level with support for debugging from GDB.
74
#       UART output from printf() is enabled by default. The model loads with
75
#       the dhrystone test running as default, but can be changed by defining
76
#       VPI_TEST_SW at the command line. Logging of the processor's execution
77
#       is also disabled by default to speed up simulation.
78
#
79 6 julius
 
80
# Simulation results:
81
#
82
# The results and output of the event-driven simulations are in the
83
# results path, in parallel to the simulation run and bin paths.
84
 
85
# Specific tests:
86
#
87
# To run an individual test, specify it in the variable TESTS when
88
# calling make, eg:
89
#
90
#        make rtl-tests TESTS="mmu-nocache mul-idcd-O2"
91
 
92
# UART printf:
93
#
94
# It is possible to enable printf to the console via the UART when
95
# running the event-driven simulators. To do this define UART_PRINTF=1
96
# when calling make. The SystemC cycle-acccurate model uses this by
97
# default.
98
# Also note when switching between runs with and without UART printf
99
# enabled, run a clean-sw so the library files are recompiled when
100
# the tests are run - this is not done automatically.
101
 
102
# VCDs:
103
#
104
# VCD (value change dumps, usable in a waveform viewer, such as gtkwave
105
# to inspect the internals of the system graphically) files can be
106
# generated by defining a variable VCD, eg.
107
#
108
#       make rtl-tests VCD=1
109
#
110
# and a dump file will be created in the simulation results directory,
111
# and named according to the test run which generated it. This is
112
# possible for both event-driven and cycle-accurate simulations.
113
# However the cycle-accurate
114
 
115
# NO_SIM_LOGGING:
116
#
117
# It is possible to speed up the event-driven simulation slightly by
118
# disabling log output of the processor's state to files by defining
119
# NO_SIM_LOGGING, eg:
120
#
121
#       make rtl-tests TESTS=except-icdc NO_SIM_LOGGING=1
122
#
123
 
124
# Cleaning:
125
# A simple "make clean" cleans everything - software and all temporary
126
# simulation files and directories. To clean just the software run:
127
#
128
#       make clean-sw
129
#
130
# and to clean just the temporary simulation files (including VCDs,
131
# results logs - everything under, and including, sim/results/, run
132
#
133
#       make clean-sim
134
#
135
 
136
# Note:
137
#
138
# The way each of the test loops is written is probably a bit overly complex
139
# but this is to save maintaining, and calling, multiple files.
140
#
141
 
142
# Model configuration:
143
#
144
# Currently, the ORPSoCv2, by default, contains an internal SRAM (configurable
145
# size - check the defparam in rtl/verilog/orpsoc_top.v), standard OR1200 (check
146
# the config in rtl/verilog/or1200_defines.v) and UART.
147
# Switches can be passed to enable certain parts of the design if testing with
148
# these is desired.
149
#
150
# SDRAM and controller
151
#
152
# To enable the use of SDRAM, define USE_SDRAM when calling the sim -this
153
# only has an effect in the event-driven simulators as the external SDRAM model
154
# is not availble in SystemC format. eg:
155
#
156
#       make rtl-tests USE_SDRAM=1
157
#
158
# This not only enables SDRAM but also enables the booting from external SPI
159
# interfaced flash memory. This causes significant increase in the time taken
160
# for simulation as the program to test is first loaded out of SPI flash memory
161
# and into SDRAM before it is executed. Although this more closely mimics the
162
# behaviour of the hardware, for simulation purposes it is purely time-consuming
163
# however it may be useful to track down any problems with this boot-loading
164 43 julius
# process. Therefore, becuase it enables SDRAM memory, it also enables the flash
165 6 julius
# memory model and SPI controller inside ORPSoC.
166
#
167
# Ethernet
168
#
169
# Ethernet is disabled by default. This is due to the fact that it is not
170
# supported in the verilator/systemC model. Also, there is currently no software
171
# which tests it in any meaningful way.
172
#
173
 
174
#
175
# Event-driven simulation compilation
176
#
177
# The way the event-driven simulations are compiled is simply using the
178
# configuration script file in this directory, currently called icarus.scr -
179
# however it is first processesed to replace the variables, beginning with $'s,
180
# with the appropriate paths. Instead of naming each file to be compiled, the
181
# paths to be searched for each module are instead defined ( -y paths), and
182
# only the toplevel testbench and library source files are explicitly named.
183
# This simplifies the script, and also requires that the name of each verilog
184
# source file is the same as the module it contains (a good convention
185
# regardless.) In addition to the script/command file, defines are passed to
186
# the compiler via the command line in the EVENT_SIM_FLAGS variable.
187
# Additionally, a source file, test_define.v, is created with  some defines
188
# that cannot be passed to the compiled reliably (there are differences between
189
# the way, for instance, icarus and ncverilog parse strings +define+'d on the
190
# command line). This file is then included at the appropriate places.
191
# It is probably not ideal that the entire design be re-compiled for each test,
192
# but currently the design is small enough so that this doesn't cause a
193
# significant overhead, unlike the cycle-accurate model compile time.
194
#
195
 
196
#
197
# SystemC cycle-accurate model compilation
198
#
199
# A new addition to ORPSoC v2 is the cycle-accurate model. The primary enabler
200 44 julius
# behind this is verilator, which processes the RTL source and generates a c++
201
# description of the system. This c++ description is then compiled, with a
202 6 julius
# SystemC wrapper. Finally a top-level SystemC testbench instantiates the
203 44 julius
# model, and other useful modules - in this case a reset generation, UART
204 6 julius
# decoder, and monitor module are included at the top level. These additional
205 44 julius
# modules and models are written in SystemC. Finally, everything is linked with
206
# the cycle-accurate ORPSoC model to create the simulation executable. This
207
# executable is the cycle-representation of the system.
208
#
209 49 julius
# Run the resulting executable with the -h switch for usage.
210 44 julius
#
211
# The compilation is all done with the GNU c++ compiler, g++.
212
#
213
# The compilation process is a little more complicated than the event-driven
214 6 julius
# simulator. It proceeds basically by generating the makefiles for compiling
215
# the design with verilator, running these makes which produces a library
216
# containing the cycle-accurate ORPSoC design, compiling the additional
217
# top-level, and testbench, systemC models into a library, and then linking it
218
# all together into the simulation executable.
219 44 julius
#
220 6 julius
# The major advantage of the cycle-accurate model is that it is quicker, in
221
# terms of simulated cycles/second, when compared with event-driven simulators.
222
# It is, of course, less accurate in that it cannot model propegation delays.
223
# However this is usually not an issue for simulating a design which is known
224
# to synthesize and run OK. It is very useful for running complex software,
225
# such as the linux kernel and real-time OS applications, which generally
226 44 julius
# result in long simulation times.
227
#
228 6 julius
# Currently the cycle-accurate model being used doesn't contain much more than
229
# the processor and a UART, however it's exepected in future this will be
230
# expanded on and more complex software test suites will be implemented to put
231
# the system through its paces.
232
#
233 44 julius
#
234 49 julius
#
235 6 julius
 
236 44 julius
# Name of the directory we're currently in
237 6 julius
CUR_DIR=$(shell pwd)
238
 
239
# The root path of the whole project
240
PROJECT_ROOT=$(CUR_DIR)/../..
241
 
242
# Tests is only defined if it wasn't already defined when make was called
243
# This is the default list of every test that is currently possible
244
TESTS ?= basic-nocache cbasic-nocache-O2 dhry-nocache-O2 except-nocache mmu-nocache mul-nocache-O2 syscall-nocache tick-nocache uart-nocache basic-icdc cbasic-icdc-O2 dhry-icdc-O2 except-icdc mmu-icdc mul-icdc-O2 syscall-icdc tick-icdc uart-icdc
245
 
246
# Paths to other important parts of this test suite
247
SIM_DIR=$(PROJECT_ROOT)/sim
248
SIM_RUN_DIR=$(SIM_DIR)/run
249
SIM_BIN_DIR=$(SIM_DIR)/bin
250
SIM_RESULTS_DIR=$(SIM_DIR)/results
251
SIM_VLT_DIR=$(SIM_DIR)/vlt
252
BENCH_DIR=$(PROJECT_ROOT)/bench
253
BACKEND_DIR=$(PROJECT_ROOT)/backend
254
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
255
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
256
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
257
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
258
RTL_VERILOG_DIR=$(PROJECT_ROOT)/rtl/verilog
259
SW_DIR=$(PROJECT_ROOT)/sw
260
 
261
ICARUS=iverilog
262
ICARUS_VVP=vvp
263
ICARUS_COMMAND_FILE=icarus.scr
264
VLT_COMMAND_FILE=verilator.scr
265
SIM_SUCCESS_MESSAGE=deaddead
266 55 julius
MGC_COMMAND_FILE=modelsim.scr
267 6 julius
 
268
ARCH_SIM_EXE=or32-elf-sim
269
ARCH_SIM_CFG_FILE=or1ksim-orpsocv2.cfg
270
 
271
# If USE_SDRAM is defined we'll add it to the simulator's defines on the
272
# command line becuase it's used by many different modules and it's easier
273
# to do it this way than make them all include a file.
274
ifdef USE_SDRAM
275
EVENT_SIM_FLAGS += "-D USE_SDRAM=$(USE_SDRAM)"
276
endif
277
 
278 55 julius
# Set SIMULATOR=vsim on command line to use Modelsim
279
ifeq ($(SIMULATOR), vsim)
280
# Modelsim
281
SIM_COMMANDFILE=$(MGC_COMMAND_FILE)
282
else
283
# Icarus Verilog Simulator
284
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
285
endif
286 51 julius
 
287 55 julius
GENERATED_COMMANDFILE=$(SIM_COMMANDFILE).generated
288 51 julius
 
289 55 julius
# When Modelsim is selected as simulator, we compile
290
# the ORPSoC system into one library called orpsoc and
291
# then simply re-compile the testbench and or1200_monitor
292
# whenever we run the simulation, so just that part is
293
# recompiled for every test, instead of the whole thing.
294
MGC_ORPSOC_LIB=orpsoc
295
MGC_ORPSOC_LIB_DIR=$(SIM_RUN_DIR)/$(MGC_ORPSOC_LIB)
296
 
297
# If VCD dump is desired, tell Modelsim not to optimise
298
# away everything.
299
ifeq ($(VCD), 1)
300
VOPT_ARGS=-voptargs="+acc=rnp"
301
endif
302
 
303
# Simulation compile and run commands, depending on your
304
# simulator. Currently only Modelsim (vsim) and Icarus right
305
# now.
306
# TODO: Put the NC-sim commands in here too and have just the
307
# single simulation test loop rule.
308
ifeq ($(SIMULATOR), vsim)
309
# Line to compile the orpsoc design into a modelsim library.
310
SIM_COMMANDCOMPILE=if [ ! -e work ]; then vlib work; vlib $(MGC_ORPSOC_LIB); vlog -work orpsoc -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); fi
311
# Final modelsim compile, done each time, pulling in or1200
312
# monitor and the new test_defines.v file:
313
VSIM_COMPILE_TB=vlog +incdir+. +incdir+$(BENCH_VERILOG_DIR) +incdir+$(RTL_VERILOG_DIR) +define+TEST_DEFINE_FILE $(BENCH_VERILOG_DIR)/or1200_monitor.v $(BENCH_VERILOG_DIR)/orpsoc_testbench.v
314
# Simulation run command:
315
SIM_COMMANDRUN=$(VSIM_COMPILE_TB); vsim -c -quiet +nowarnTFMPC -L $(MGC_ORPSOC_LIB) $(VOPT_ARGS) -do "run -all; exit" orpsoc_testbench
316
else
317
# Icarus Verilog Simulator compile command
318
SIM_COMMANDCOMPILE=rm -f $(SIM_RUN_DIR)/a.out; $(ICARUS) -sorpsoc_testbench -c $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) $(EVENT_SIM_FLAGS)
319
# Icarus Verilog run command
320
SIM_COMMANDRUN=$(ICARUS_VVP) -l $(SIM_RESULTS_DIR)/$$TEST-vvp-out.log a.out
321
endif
322
 
323 6 julius
# Enable ethernet if defined on the command line
324
ifdef USE_ETHERNET
325 44 julius
EVENT_SIM_FLAGS += "-D USE_ETHERNET=$(USE_ETHERNET) -D USE_ETHERNET_IO=$(USE_ETHERNET)"
326
# Extra tests we do if ethernet is enabled
327 51 julius
TESTS += eth-basic eth-int
328 6 julius
endif
329
 
330
SIM_FLASH_MEM_FILE="flash.in"
331
FLASH_MEM_FILE_SUFFIX="-twobyte-sizefirst.hex"
332
SIM_SRAM_MEM_FILE="sram.vmem"
333
 
334
TESTS_PASSED=0
335
TESTS_PERFORMED=0;
336
 
337
################################################################################
338
# Event-driven simulator build rules (Icarus, NCSim)
339
################################################################################
340
 
341 51 julius
$(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v:
342
        @cd $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl && perl fizzim.pl -encoding onehot -terse < wb_sdram_ctrl_fsm.fzm > wb_sdram_ctrl_fsm.v
343 6 julius
 
344 51 julius
.PHONY: prepare_rtl
345 55 julius
prepare_rtl: $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
346 6 julius
 
347 55 julius
$(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE): $(SIM_BIN_DIR)/$(SIM_COMMANDFILE)
348
        @sed < $(SIM_BIN_DIR)/$(SIM_COMMANDFILE) > $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) \
349
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
350
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
351
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
352
                -e \\!^//.*\$$!d -e \\!^\$$!d ; \
353
        echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
354
        if [ ! -z $$VCD ]; \
355
                then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
356
        fi; \
357
        if [ ! -z $$UART_PRINTF ]; \
358
                then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
359
        fi
360 51 julius
 
361 6 julius
ifdef UART_PRINTF
362 44 julius
TEST_SW_MAKE_OPTS="UART_PRINTF=1"
363 6 julius
endif
364
 
365
.PHONY: prepare_sw
366
prepare_sw:
367
        @$(MAKE) -C $(SW_DIR)/support all $(TEST_SW_MAKE_OPTS)
368
        @$(MAKE) -C $(SW_DIR)/utils all
369
 
370
# A rule with UART_PRINTF hard defined ... used by verilator make sw
371
prepare_sw_uart_printf:
372
        @$(MAKE) -C $(SW_DIR)/support all UART_PRINTF=1 $(TEST_SW_MAKE_OPTS)
373
        @$(MAKE) -C $(SW_DIR)/utils all
374
 
375 40 julius
prepare_dirs:
376
        @if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
377 6 julius
 
378 55 julius
#
379
# Rough guide to how event driven simulation test loop works:
380
#
381
# 1. Compile software support programs.
382
# 2. Generate RTL compilation script file
383
# 3. For each test listed in $(TESTS), loop and
384
#       a) Compile software
385
#       b) Create appropriate image to be loaded into sim
386
#       c) Create a verilog file to be included by top level
387
#       d) Compile the RTL design
388
#       e) Run the RTL design in the chosen simulator
389
#       f) Check the output (files in ../results)
390
#
391
# Default setup is:
392
#       * Event-driven simulation with Icarus Verilog
393
#       * Internal SRAM memory, preloaded with application
394
#       * Ethernet disabled
395
#       * VCD generation disabled
396
#       * printf() via UART disabled
397
#       * Logging enabled
398
#
399
# Options:
400
#       SIMULATOR=vsim
401
#               Use Mentor Graphics Modelsim simulator
402
#       USE_SDRAM=1
403
#               Enable use of SDRAM - changes boot sequence and takes
404
#               a lot longer due to application being loaded out of
405
#               external FLASH memory and into SDRAM before execution
406
#               from the SDRAM.
407
#       VCD=1
408
#               Enable VCD generation. These files are output to
409
#               ../results
410
#       USE_ETHERNET=1
411
#               Turns on ethernet core inclusion. There are currently
412
#               some tests, but not included by default. Check the sw
413
#               directory
414
#       UART_PRINTF=1
415
#               Make the software use the UART core to print out
416
#               printf() calls.
417
#       NO_SIM_LOGGING=1
418
#               Turn off generation of logging files in the ../results
419
#               directory.
420
#
421
rtl-tests: $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) prepare_sw prepare_rtl prepare_dirs
422 6 julius
        @echo
423
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
424
        @echo
425
        @for TEST in $(TESTS); do \
426
                echo "################################################################################"; \
427
                echo; \
428
                echo "\t#### Current test: $$TEST ####"; echo; \
429
                echo "\t#### Compiling software ####"; echo; \
430
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
431
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS); \
432
                rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
433
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
434
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
435
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
436 55 julius
                echo "\`define TEST_NAME_STRING \"$$TEST\"" > $(SIM_RUN_DIR)/test_define.v; \
437
                echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v; \
438 6 julius
                if [ ! -z $$VCD ]; \
439 55 julius
                        then echo "\`define VCD" >> $(SIM_RUN_DIR)/test_define.v; \
440 6 julius
                fi; \
441
                if [ ! -z $$UART_PRINTF ]; \
442 55 julius
                        then echo "\`define UART_PRINTF" >> $(SIM_RUN_DIR)/test_define.v; \
443 6 julius
                fi; \
444 44 julius
                if echo $$TEST | grep -q -i ^eth; then \
445
                        echo "\`define ENABLE_ETH_STIM" >> $(SIM_RUN_DIR)/test_define.v; \
446
                        echo "\`define ETH_PHY_VERBOSE" >> $(SIM_RUN_DIR)/test_define.v; \
447
                fi; \
448 43 julius
                if [ -z $$NO_SIM_LOGGING ]; then \
449 6 julius
                        echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; \
450
                fi; \
451
                echo ; \
452
                echo "\t#### Compiling RTL ####"; \
453 55 julius
                $(SIM_COMMANDCOMPILE); \
454 6 julius
                echo; \
455
                echo "\t#### Beginning simulation ####"; \
456 55 julius
                time -p $(SIM_COMMANDRUN) ; \
457 6 julius
                if [ $$? -gt 0 ]; then exit $$?; fi; \
458
                TEST_RESULT=`cat $(SIM_RESULTS_DIR)/$$TEST-general.log | grep report | grep $(SIM_SUCCESS_MESSAGE) -c`; \
459
                echo; echo "\t####"; \
460
                if [ $$TEST_RESULT -gt 0 ]; then \
461
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
462
                else    echo "\t#### Test $$TEST FAILED ####";\
463
                fi; \
464
                echo "\t####"; echo; \
465
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
466
        done; \
467
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
468
 
469
 
470
 
471
# Use NCSIM instead of icarus
472 40 julius
rtl-nc-tests: prepare_sw prepare_rtl prepare_dirs
473 6 julius
        @echo
474
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
475
        @echo
476
        @for TEST in $(TESTS); do \
477
                echo "################################################################################"; \
478
                echo; \
479
                echo "\t#### Current test: $$TEST ####"; echo; \
480
                echo "\t#### Compiling software ####"; echo; \
481
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
482
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST; \
483
                rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
484
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
485
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST_twobyte_sizefirst.hex $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
486
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST_fourbyte.hex $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
487
                sed < $(SIM_BIN_DIR)/$(ICARUS_COMMAND_FILE) > $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated \
488
                        -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
489
                        -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
490
                        -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
491
                        -e \\!^//.*\$$!d -e \\!^\$$!d ; \
492
                echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
493
                if [ ! -z $$VCD ]; \
494
                        then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
495
                        echo "+access+r" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
496
                fi; \
497
                if [ ! -z $$UART_PRINTF ]; \
498
                        then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
499
                fi; \
500
                if [ ! -z $$USE_SDRAM ]; then \
501
                        echo "\`define USE_SDRAM" >> $(SIM_RUN_DIR)/test_define.v; \
502
                fi; \
503 44 julius
                if echo $$TEST | grep -q -i ^eth; then \
504
                        echo "\`define ENABLE_ETH_STIM" >> $(SIM_RUN_DIR)/test_define.v; \
505
                        echo "\`define ETH_PHY_VERBOSE" >> $(SIM_RUN_DIR)/test_define.v; \
506
                fi; \
507 6 julius
                echo "+nocopyright" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
508
                echo "+nowarn+MACRDF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; \
509
                echo "\`define TEST_NAME_STRING \"$$TEST\"" > $(SIM_RUN_DIR)/test_define.v; \
510
                echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v; \
511
                if [ -z $$NO_SIM_LOGGING ]; then \
512
                        echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; \
513
                fi; \
514
                echo ; \
515
                echo "\t#### Beginning simulation ####"; \
516
                time -p ncverilog -f $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated -Q -l $(SIM_RESULTS_DIR)/$$TEST-ius-out.log $(EVENT_SIM_FLAGS); \
517
                if [ $$? -gt 0 ]; then exit $$?; fi; \
518
                TEST_RESULT=`cat $(SIM_RESULTS_DIR)/$$TEST-general.log | grep report | grep $(SIM_SUCCESS_MESSAGE) -c`; \
519
                echo; echo "\t####"; \
520
                if [ $$TEST_RESULT -gt 0 ]; then \
521
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
522
                else    echo "\t#### Test $$TEST FAILED ####";\
523
                fi; \
524
                echo "\t####"; echo; \
525
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
526
        done; \
527
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
528
 
529
################################################################################
530 40 julius
# RTL simulation in Icarus with GDB stub via VPI for debugging
531
################################################################################
532
# This compiles a version of the system which starts up the dhrystone nocache
533
# test, and launches the simulator with a VPI module that provides a GDB stub
534
# allowing the OpenRISC compatible GDB to connect and debug the system.
535
# The launched test can be changed by defining VPI_TEST_SW on the make line
536
VPI_DIR=$(BENCH_VERILOG_DIR)/vpi
537
VPI_C_DIR=$(VPI_DIR)/c
538
VPI_VERILOG_DIR=$(VPI_DIR)/verilog
539
VPI_LIB_NAME=jp_vpi
540
ICARUS_VPI_OPTS=-M$(VPI_C_DIR) -m$(VPI_LIB_NAME)
541
VPI_TEST_SW ?= dhry-nocache-O2
542
 
543
prepare_vpi:
544
## Build the VPI library
545
        $(MAKE) -C $(VPI_C_DIR) $(VPI_LIB_NAME)
546
 
547 49 julius
clean-vpi:
548 40 julius
        $(MAKE) -C $(VPI_C_DIR) clean
549
 
550
rtl-debug: prepare_sw_uart_printf prepare_rtl prepare_vpi prepare_dirs
551
## Prepare the software for the test
552
        @echo "\t#### Compiling software ####"; echo; \
553
        CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $(VPI_TEST_SW) | cut -d "-" -f 1`; \
554
        $(MAKE) -C $$CURRENT_TEST_SW_DIR $(VPI_TEST_SW) $(TEST_SW_MAKE_OPTS); \
555
        rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
556
        rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
557
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW)$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
558
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW).vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE)
559
## Generate the icarus script we'll compile with
560
        @sed < $(SIM_BIN_DIR)/$(ICARUS_COMMAND_FILE) > $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated \
561
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
562
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
563
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
564
                -e \\!^//.*\$$!d -e \\!^\$$!d
565
## Add a couple of extra defines to the icarus compile script
566
        @echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
567
## The define that enables the VPI debug module
568
        @echo "+define+VPI_DEBUG_ENABLE" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
569
        @if [ ! -z $$VCD ];then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated;fi
570
## Unless NO_UART_PRINTF=1 we use printf via the UART
571
        @if [ -z $$NO_UART_PRINTF ];then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; fi
572
        @echo "\`define TEST_NAME_STRING \"$(VPI_TEST_SW)-vpi\"" > $(SIM_RUN_DIR)/test_define.v
573
        @echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v
574
        @if [ -z $$NO_SIM_LOGGING ]; then echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; fi
575
        @echo
576
        @echo "\t#### Compiling RTL ####"
577
        @rm -f $(SIM_RUN_DIR)/a.out
578
        @$(ICARUS) -sorpsoc_testbench -c $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated $(EVENT_SIM_FLAGS)
579
        @echo
580
        @echo "\t#### Beginning simulation with VPI debug module enabled ####"; echo
581
        @$(ICARUS_VVP) $(ICARUS_VPI_OPTS) -l $(SIM_RESULTS_DIR)/$(VPI_TEST_SW)-vvp-out.log a.out
582
 
583
################################################################################
584 6 julius
# Verilator model build rules
585
################################################################################
586
 
587
 
588
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
589
 
590
 
591
# List of System C models - use this list to link the sources into the Verilator
592
# build directory
593 51 julius
SYSC_MODELS=OrpsocAccess MemoryLoad
594 6 julius
 
595 49 julius
ifdef VLT_DEBUG
596
VLT_DEBUG_COMPILE_FLAGS = -g
597
# Enabling the following generates a TON of debugging
598
# when running verilator. Not so helpful.
599
#VLT_DEBUG_OPTIONS = --debug --dump-tree
600
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
601 6 julius
endif
602
 
603 49 julius
# If set on the command line we build the cycle accurate model which will generate verilator-specific profiling information. This is useful for checking the efficiency of the model - not really useful for checking code or the function of the model.
604
ifdef VLT_ORPSOC_PROFILING
605
VLT_CPPFLAGS=-g -pg
606
VLT_DEBUG_OPTIONS +=-profile-cfuncs
607
else
608 53 julius
VLT_CPPFLAGS=-fbranch-probabilities -fvpt -funroll-loops -fpeel-loops -ftracer -O3
609
#VLT_CPPFLAGS=-Wall
610 49 julius
endif
611
 
612
ifdef VLT_DO_PROFILING
613
VLT_CPPFLAGS=-O3 -ftest-coverage -fprofile-generate
614
endif
615
 
616
# VCD Enabled by default when building, enable it at runtime
617
#ifdef VCD
618
VLT_FLAGS +=-trace
619
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
620
#endif
621
 
622 6 julius
# Only need the trace target if we are tracing
623 49 julius
#ifneq (,$(findstring -trace, $(VLT_FLAGS)))
624 6 julius
VLT_TRACEOBJ = SpTraceVcdC
625 49 julius
#endif
626 6 julius
 
627
# This is the list of extra models we'll issue make commands for
628
# Included is the SystemPerl trace model
629
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
630
 
631
prepare_vlt: prepare_rtl vlt_model_links $(SIM_VLT_DIR)/Vorpsoc_top
632 54 julius
        @echo;echo "\tCycle-accurate model compiled successfully"
633
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
634
        $(SIM_VLT_DIR)/Vorpsoc_top -h
635
        @echo;echo
636 6 julius
 
637
$(SIM_VLT_DIR)/Vorpsoc_top: $(SIM_VLT_DIR)/libVorpsoc_top.a $(SIM_VLT_DIR)/OrpsocMain.o
638
# Final linking of the simulation executable. Order of libraries here is important!
639
        @echo; echo "\tGenerating simulation executable"; echo
640 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o Vorpsoc_top -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) OrpsocMain.o -lVorpsoc_top -lmodules -lsystemc
641 6 julius
 
642 51 julius
# Now compile the top level systemC "testbench" module from the systemC source path
643
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
644 6 julius
        @echo; echo "\tCompiling top level SystemC testbench"; echo
645 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
646 6 julius
 
647
$(SIM_VLT_DIR)/libVorpsoc_top.a: $(SIM_VLT_DIR)/Vorpsoc_top__ALL.a vlt_modules_compile $(SIM_VLT_DIR)/verilated.o
648
# Now archive all of the libraries from verilator witht he other modules we might have
649
        @echo; echo "\tArchiving libraries into libVorpsoc_top.a"; echo
650
        @cd $(SIM_VLT_DIR) && \
651
        cp Vorpsoc_top__ALL.a libVorpsoc_top.a && \
652
        ar rcs libVorpsoc_top.a verilated.o; \
653
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
654
                ar rcs libVorpsoc_top.a $$SYSCMODEL.o; \
655
        done
656
 
657
$(SIM_VLT_DIR)/verilated.o:
658
        @echo; echo "\tCompiling verilated.o"; echo
659
        @cd $(SIM_VLT_DIR) && \
660 49 julius
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
661
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
662
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
663 6 julius
        $(MAKE) -f Vorpsoc_top.mk verilated.o
664
 
665
.PHONY: vlt_modules_compile
666
vlt_modules_compile:
667
# Compile the module files
668
        @echo; echo "\tCompiling SystemC models"
669
        @cd $(SIM_VLT_DIR) && \
670
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
671
                echo;echo "\t$$SYSCMODEL"; echo; \
672 49 julius
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
673 51 julius
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
674 49 julius
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
675
                 $(MAKE) -f Vorpsoc_top.mk $$SYSCMODEL.o; \
676
        done
677 6 julius
 
678
$(SIM_VLT_DIR)/Vorpsoc_top__ALL.a: $(SIM_VLT_DIR)/Vorpsoc_top.mk
679
        @echo; echo "\tCompiling main design"; echo
680
        @cd $(SIM_VLT_DIR) && \
681 49 julius
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
682
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
683 6 julius
        $(MAKE) -f Vorpsoc_top.mk Vorpsoc_top__ALL.a
684
 
685
$(SIM_VLT_DIR)/Vorpsoc_top.mk: $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated $(SIM_VLT_DIR)/libmodules.a
686
# Now call verilator to generate the .mk files
687
        @echo; echo "\tGenerating makefiles with Verilator"; echo
688
        cd $(SIM_VLT_DIR) && \
689 49 julius
        verilator -language 1364-2001 -Wno-lint --top-module orpsoc_top $(VLT_DEBUG_OPTIONS) -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(VLT_COMMAND_FILE).generated
690 6 julius
 
691
# SystemC modules library
692
$(SIM_VLT_DIR)/libmodules.a:
693
        @echo; echo "\tCompiling SystemC modules"; echo
694 49 julius
        @export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
695
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
696 6 julius
 
697
 
698 51 julius
ALL_VLOG=$(shell find $(RTL_VERILOG_DIR) -name "*.v")
699
 
700 6 julius
# Verilator command script
701 51 julius
# Generate the compile script to give Verilator - make it sensitive to the RTL
702
$(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated: $(ALL_VLOG)
703 6 julius
        @echo; echo "\tGenerating verilator compile script"; echo
704
        @sed < $(SIM_BIN_DIR)/$(VLT_COMMAND_FILE) > $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated \
705
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
706
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
707
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
708
                -e \\!^//.*\$$!d -e \\!^\$$!d;
709
 
710
.PHONY: vlt_model_links
711
vlt_model_links:
712
# Link all the required system C model files into the verilator work dir
713
        @echo; echo "\tLinking SystemC model source to verilator build path"; echo
714
        @if [ ! -d $(SIM_VLT_DIR) ]; then mkdir $(SIM_VLT_DIR); fi
715
        @cd $(SIM_VLT_DIR) && \
716
        for SYSCMODEL in $(SYSC_MODELS); do \
717
                if [ ! -e $$SYSCMODEL.cpp ]; then \
718
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp .; \
719
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h .; \
720
                fi; \
721
        done
722
 
723
 
724
################################################################################
725
# Verilator test loop
726
################################################################################
727
 
728
# Verilator defaults to internal memories
729 40 julius
vlt-tests: prepare_sw_uart_printf prepare_rtl prepare_dirs prepare_vlt
730 6 julius
        @echo
731
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
732
        @echo
733
        @for TEST in $(TESTS); do \
734
                echo "################################################################################"; \
735
                echo; \
736
                echo "\t#### Current test: $$TEST ####"; echo; \
737
                echo "\t#### Compiling software ####"; echo; \
738
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
739
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
740
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
741
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
742
                echo "\t#### Beginning simulation ####"; \
743
                time -p $(SIM_VLT_DIR)/Vorpsoc_top $$TEST; \
744
                if [ $$? -gt 0 ]; then exit $$?; fi; \
745
                TEST_RESULT=1; \
746
                echo; echo "\t####"; \
747
                if [ $$TEST_RESULT -gt 0 ]; then \
748
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
749
                else    echo "\t#### Test $$TEST FAILED ####";\
750
                fi; \
751
                echo "\t####"; echo; \
752
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
753
        done; \
754
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
755
 
756 49 julius
###############################################################################
757
# Verilator profiled module make
758
###############################################################################
759
# To run this, first run a "make prepare_vlt VLT_DO_PROFILING=1" then do a
760
# "make clean" and then a "make prepare_vlt_profiled"
761
# This new make target copies athe results of the profiling back to the right
762
# paths before we create everything again
763
###############################################################################
764
prepare_vlt_profiled: vlt_restore_profileoutput prepare_rtl vlt_model_links $(SIM_VLT_DIR)/Vorpsoc_top
765 6 julius
 
766 49 julius
vlt_restore_profileoutput:
767
        @echo;echo "\tRestoring profiling outputs"; echo
768
        @mkdir -p ../vlt
769
        @cp /tmp/*.gc* $(SIM_VLT_DIR)
770
        @cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
771 6 julius
 
772
################################################################################
773
# Architectural simulator test loop
774
################################################################################
775
 
776
# Verilator defaults to internal memories
777
sim-tests: prepare_sw_uart_printf
778
        @if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
779
        @echo
780
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
781
        @echo
782
        @for TEST in $(TESTS); do \
783
                echo "################################################################################"; \
784
                echo; \
785
                echo "\t#### Current test: $$TEST ####"; echo; \
786
                echo "\t#### Compiling software ####"; echo; \
787
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
788
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
789
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
790
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.or32 $(SIM_RUN_DIR)/.; \
791
                echo;echo "\t#### Launching architectural simulator ####"; \
792
                time -p $(ARCH_SIM_EXE) --nosrv -f $(SIM_BIN_DIR)/$(ARCH_SIM_CFG_FILE) $$TEST.or32 > $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log 2>&1; \
793
                if [ $$? -gt 0 ]; then exit $$?; fi; \
794
                if [ `tail -n 10 $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log | grep -c $(SIM_SUCCESS_MESSAGE)` -gt 0 ]; then \
795
                        TEST_RESULT=1; \
796
                fi; \
797
                echo; echo "\t####"; \
798
                if [ $$TEST_RESULT -gt 0 ]; then \
799
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
800
                else    echo "\t#### Test $$TEST FAILED ####";\
801
                fi; \
802
                echo "\t####"; echo; \
803
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
804
                unlink $(SIM_RUN_DIR)/$$TEST.or32; \
805
        done; \
806
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
807
 
808
 
809
 
810
################################################################################
811
# Cleaning rules
812
################################################################################
813
 
814 49 julius
clean: clean-sw clean-sim clean-sysc clean-rtl clean-vpi
815 6 julius
 
816
clean-sw:
817 44 julius
        @for SWDIR in `ls $(SW_DIR)`; do \
818
                echo $$SWDIR; \
819
                $(MAKE) -C $(SW_DIR)/$$SWDIR clean; \
820 6 julius
        done
821
 
822
clean-sim:
823 49 julius
#backup any profiling output files
824 51 julius
        @if [ -f $(SIM_VLT_DIR)/OrpsocMain.gcda ]; then echo;echo "\tBacking up verilator profiling output to /tmp"; echo; \
825 49 julius
        cp $(SIM_VLT_DIR)/*.gc* /tmp; \
826
        cp $(BENCH_SYSC_SRC_DIR)/*.gc* /tmp; fi
827 55 julius
        rm -rf $(SIM_RESULTS_DIR) $(SIM_RUN_DIR)/*.* $(SIM_VLT_DIR) $(MGC_ORPSOC_LIB_DIR) $(SIM_RUN_DIR)/work $(SIM_RUN_DIR)/transcript
828 36 julius
 
829
clean-sysc:
830
# Clean away dependency files generated by verilator
831 42 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make clean
832 36 julius
 
833
clean-rtl:
834
# Clean away temporary verilog source files
835 44 julius
        rm -f $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
836
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.