OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [sim/] [bin/] [Makefile] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 julius
######################################################################
2
####                                                              ####
3
####  ORPSoCv2 Testbenches Makefile                               ####
4
####                                                              ####
5
####  Description                                                 ####
6
####  ORPSoCv2 Testbenches Makefile, containing rules for         ####
7
####  configuring and running different tests on the current      ####
8
####  ORPSoC(v2) design.                                          ####
9
####                                                              ####
10
####  To do:                                                      ####
11
####    * Test if each software test file gets made properly      ####
12
####      before it's run in whatever model we're using           ####
13
####    * Expand software test-suite (uClibc, ecos tests, LTP?)   ####
14
####                                                              ####
15
####  Author(s):                                                  ####
16
####      - jb, jb@orsoc.se                                       ####
17
####                                                              ####
18
####                                                              ####
19
######################################################################
20
####                                                              ####
21
#### Copyright (C) 2009 Authors and OPENCORES.ORG                 ####
22
####                                                              ####
23
#### This source file may be used and distributed without         ####
24
#### restriction provided that this copyright statement is not    ####
25
#### removed from the file and that any derivative work contains  ####
26
#### the original copyright notice and the associated disclaimer. ####
27
####                                                              ####
28
#### This source file is free software; you can redistribute it   ####
29
#### and/or modify it under the terms of the GNU Lesser General   ####
30
#### Public License as published by the Free Software Foundation; ####
31
#### either version 2.1 of the License, or (at your option) any   ####
32
#### later version.                                               ####
33
####                                                              ####
34
#### This source is distributed in the hope that it will be       ####
35
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
36
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
37
#### PURPOSE.  See the GNU Lesser General Public License for more ####
38
#### details.                                                     ####
39
####                                                              ####
40
#### You should have received a copy of the GNU Lesser General    ####
41
#### Public License along with this source; if not, download it   ####
42
#### from http://www.opencores.org/lgpl.shtml                     ####
43
####                                                              ####
44
######################################################################
45
 
46
# Usage:
47
#
48
#       make rtl-tests
49
#
50
#       Run the software tests in the RTL model of the ORPSoC being
51 55 julius
#       simulated with an event-driven simulator like Icarus. It's also
52
#       possible to use Modelsim's vsim and Cadence's Verilog simulators.
53 6 julius
#
54
#       make vlt-tests
55
#
56
#       Run all the software tests in the RTL model which has been
57
#       converted into a cycle-accurate SystemC model with Verilator.
58
#
59
#       make sim-tests
60
#
61
#       Run all the software tests in the architectural simulator
62
#
63 40 julius
#
64
# Debugging modes:
65
#
66
#       make rtl-debug
67
#
68
#       Enable a GDB stub integrated into the simulation via VPI. This will
69
#       start a simulation, then the GDB server, and allow the user to connect
70
#       using the OpenRISC GDB port. It should provide the same functionality
71
#       as GDB to a physical target, although a little slower.
72
#       It is provided here as an example of how to compile and run an OpenRISC
73
#       model at RTL level with support for debugging from GDB.
74
#       UART output from printf() is enabled by default. The model loads with
75
#       the dhrystone test running as default, but can be changed by defining
76
#       VPI_TEST_SW at the command line. Logging of the processor's execution
77
#       is also disabled by default to speed up simulation.
78
#
79 6 julius
 
80
# Simulation results:
81
#
82
# The results and output of the event-driven simulations are in the
83
# results path, in parallel to the simulation run and bin paths.
84
 
85
# Specific tests:
86
#
87
# To run an individual test, specify it in the variable TESTS when
88
# calling make, eg:
89
#
90
#        make rtl-tests TESTS="mmu-nocache mul-idcd-O2"
91
 
92
# UART printf:
93
#
94
# It is possible to enable printf to the console via the UART when
95
# running the event-driven simulators. To do this define UART_PRINTF=1
96
# when calling make. The SystemC cycle-acccurate model uses this by
97
# default.
98
# Also note when switching between runs with and without UART printf
99
# enabled, run a clean-sw so the library files are recompiled when
100
# the tests are run - this is not done automatically.
101
 
102
# VCDs:
103
#
104
# VCD (value change dumps, usable in a waveform viewer, such as gtkwave
105
# to inspect the internals of the system graphically) files can be
106
# generated by defining a variable VCD, eg.
107
#
108
#       make rtl-tests VCD=1
109
#
110
# and a dump file will be created in the simulation results directory,
111
# and named according to the test run which generated it. This is
112
# possible for both event-driven and cycle-accurate simulations.
113
# However the cycle-accurate
114
 
115
# NO_SIM_LOGGING:
116
#
117
# It is possible to speed up the event-driven simulation slightly by
118
# disabling log output of the processor's state to files by defining
119
# NO_SIM_LOGGING, eg:
120
#
121
#       make rtl-tests TESTS=except-icdc NO_SIM_LOGGING=1
122
#
123
 
124
# Cleaning:
125
# A simple "make clean" cleans everything - software and all temporary
126
# simulation files and directories. To clean just the software run:
127
#
128
#       make clean-sw
129
#
130
# and to clean just the temporary simulation files (including VCDs,
131
# results logs - everything under, and including, sim/results/, run
132
#
133
#       make clean-sim
134
#
135
 
136
# Note:
137
#
138
# The way each of the test loops is written is probably a bit overly complex
139
# but this is to save maintaining, and calling, multiple files.
140
#
141
 
142
# Model configuration:
143
#
144
# Currently, the ORPSoCv2, by default, contains an internal SRAM (configurable
145
# size - check the defparam in rtl/verilog/orpsoc_top.v), standard OR1200 (check
146
# the config in rtl/verilog/or1200_defines.v) and UART.
147
# Switches can be passed to enable certain parts of the design if testing with
148
# these is desired.
149
#
150
# SDRAM and controller
151
#
152
# To enable the use of SDRAM, define USE_SDRAM when calling the sim -this
153
# only has an effect in the event-driven simulators as the external SDRAM model
154
# is not availble in SystemC format. eg:
155
#
156
#       make rtl-tests USE_SDRAM=1
157
#
158
# This not only enables SDRAM but also enables the booting from external SPI
159
# interfaced flash memory. This causes significant increase in the time taken
160
# for simulation as the program to test is first loaded out of SPI flash memory
161
# and into SDRAM before it is executed. Although this more closely mimics the
162
# behaviour of the hardware, for simulation purposes it is purely time-consuming
163
# however it may be useful to track down any problems with this boot-loading
164 43 julius
# process. Therefore, becuase it enables SDRAM memory, it also enables the flash
165 6 julius
# memory model and SPI controller inside ORPSoC.
166
#
167
# Ethernet
168
#
169
# Ethernet is disabled by default. This is due to the fact that it is not
170
# supported in the verilator/systemC model. Also, there is currently no software
171
# which tests it in any meaningful way.
172
#
173
 
174
#
175
# Event-driven simulation compilation
176
#
177
# The way the event-driven simulations are compiled is simply using the
178
# configuration script file in this directory, currently called icarus.scr -
179
# however it is first processesed to replace the variables, beginning with $'s,
180
# with the appropriate paths. Instead of naming each file to be compiled, the
181
# paths to be searched for each module are instead defined ( -y paths), and
182
# only the toplevel testbench and library source files are explicitly named.
183
# This simplifies the script, and also requires that the name of each verilog
184
# source file is the same as the module it contains (a good convention
185
# regardless.) In addition to the script/command file, defines are passed to
186
# the compiler via the command line in the EVENT_SIM_FLAGS variable.
187
# Additionally, a source file, test_define.v, is created with  some defines
188
# that cannot be passed to the compiled reliably (there are differences between
189
# the way, for instance, icarus and ncverilog parse strings +define+'d on the
190
# command line). This file is then included at the appropriate places.
191
# It is probably not ideal that the entire design be re-compiled for each test,
192
# but currently the design is small enough so that this doesn't cause a
193
# significant overhead, unlike the cycle-accurate model compile time.
194
#
195
 
196
#
197
# SystemC cycle-accurate model compilation
198
#
199
# A new addition to ORPSoC v2 is the cycle-accurate model. The primary enabler
200 44 julius
# behind this is verilator, which processes the RTL source and generates a c++
201
# description of the system. This c++ description is then compiled, with a
202 6 julius
# SystemC wrapper. Finally a top-level SystemC testbench instantiates the
203 44 julius
# model, and other useful modules - in this case a reset generation, UART
204 6 julius
# decoder, and monitor module are included at the top level. These additional
205 44 julius
# modules and models are written in SystemC. Finally, everything is linked with
206
# the cycle-accurate ORPSoC model to create the simulation executable. This
207
# executable is the cycle-representation of the system.
208
#
209 49 julius
# Run the resulting executable with the -h switch for usage.
210 44 julius
#
211
# The compilation is all done with the GNU c++ compiler, g++.
212
#
213
# The compilation process is a little more complicated than the event-driven
214 6 julius
# simulator. It proceeds basically by generating the makefiles for compiling
215
# the design with verilator, running these makes which produces a library
216
# containing the cycle-accurate ORPSoC design, compiling the additional
217
# top-level, and testbench, systemC models into a library, and then linking it
218
# all together into the simulation executable.
219 44 julius
#
220 6 julius
# The major advantage of the cycle-accurate model is that it is quicker, in
221
# terms of simulated cycles/second, when compared with event-driven simulators.
222
# It is, of course, less accurate in that it cannot model propegation delays.
223
# However this is usually not an issue for simulating a design which is known
224
# to synthesize and run OK. It is very useful for running complex software,
225
# such as the linux kernel and real-time OS applications, which generally
226 44 julius
# result in long simulation times.
227
#
228 6 julius
# Currently the cycle-accurate model being used doesn't contain much more than
229
# the processor and a UART, however it's exepected in future this will be
230
# expanded on and more complex software test suites will be implemented to put
231
# the system through its paces.
232
#
233 44 julius
#
234 49 julius
#
235 6 julius
 
236 44 julius
# Name of the directory we're currently in
237 6 julius
CUR_DIR=$(shell pwd)
238
 
239
# The root path of the whole project
240 67 julius
PROJECT_ROOT ?=$(CUR_DIR)/../..
241 6 julius
 
242
# Tests is only defined if it wasn't already defined when make was called
243
# This is the default list of every test that is currently possible
244
TESTS ?= basic-nocache cbasic-nocache-O2 dhry-nocache-O2 except-nocache mmu-nocache mul-nocache-O2 syscall-nocache tick-nocache uart-nocache basic-icdc cbasic-icdc-O2 dhry-icdc-O2 except-icdc mmu-icdc mul-icdc-O2 syscall-icdc tick-icdc uart-icdc
245
 
246
# Paths to other important parts of this test suite
247 67 julius
SIM_DIR ?=$(PROJECT_ROOT)/sim
248 6 julius
SIM_RUN_DIR=$(SIM_DIR)/run
249
SIM_BIN_DIR=$(SIM_DIR)/bin
250
SIM_RESULTS_DIR=$(SIM_DIR)/results
251
SIM_VLT_DIR=$(SIM_DIR)/vlt
252
BENCH_DIR=$(PROJECT_ROOT)/bench
253 67 julius
BACKEND_DIR ?=$(PROJECT_ROOT)/backend
254 6 julius
BENCH_VERILOG_DIR=$(BENCH_DIR)/verilog
255 67 julius
BENCH_TOP_VERILOG_DIR ?= $(BENCH_DIR)/verilog
256 6 julius
BENCH_SYSC_DIR=$(BENCH_DIR)/sysc
257
BENCH_SYSC_SRC_DIR=$(BENCH_SYSC_DIR)/src
258
BENCH_SYSC_INCLUDE_DIR=$(BENCH_SYSC_DIR)/include
259
RTL_VERILOG_DIR=$(PROJECT_ROOT)/rtl/verilog
260
SW_DIR=$(PROJECT_ROOT)/sw
261
 
262
ICARUS=iverilog
263
ICARUS_VVP=vvp
264 58 julius
VSIM_COMP=vlog
265
VSIM=vsim
266
NCVERILOG=ncverilog
267 6 julius
ICARUS_COMMAND_FILE=icarus.scr
268
VLT_COMMAND_FILE=verilator.scr
269
SIM_SUCCESS_MESSAGE=deaddead
270 55 julius
MGC_COMMAND_FILE=modelsim.scr
271 6 julius
 
272
ARCH_SIM_EXE=or32-elf-sim
273
ARCH_SIM_CFG_FILE=or1ksim-orpsocv2.cfg
274
 
275 57 julius
# Set V=1 when calling make to enable verbose output
276
# mainly for debugging purposes.
277
ifeq ($(V), 1)
278
Q=
279
else
280
Q=@
281
endif
282
 
283 6 julius
# If USE_SDRAM is defined we'll add it to the simulator's defines on the
284
# command line becuase it's used by many different modules and it's easier
285
# to do it this way than make them all include a file.
286
ifdef USE_SDRAM
287
EVENT_SIM_FLAGS += "-D USE_SDRAM=$(USE_SDRAM)"
288
endif
289
 
290 58 julius
# Enable ethernet if defined on the command line
291
ifdef USE_ETHERNET
292
EVENT_SIM_FLAGS += "-D USE_ETHERNET=$(USE_ETHERNET) -D USE_ETHERNET_IO=$(USE_ETHERNET)"
293
# Extra tests we do if ethernet is enabled
294
TESTS += eth-basic eth-int
295
endif
296
 
297
#Default simulator is Icarus Verilog
298
# Set SIMULATOR=vsim to use Modelsim
299
# Set SIMULATOR=ncverilog to use Cadence's NC-Verilog
300
SIMULATOR ?= $(ICARUS)
301
 
302
# Set the command file to use, simulator dependent
303
ifeq ($(SIMULATOR), $(ICARUS))
304 55 julius
# Icarus Verilog Simulator
305
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
306
endif
307 51 julius
 
308 58 julius
ifeq ($(SIMULATOR), $(VSIM))
309
# Modelsim has own command file (it's a little more stupid than Icarus & NC)
310
SIM_COMMANDFILE=$(MGC_COMMAND_FILE)
311
endif
312
 
313
ifeq ($(SIMULATOR), $(NCVERILOG))
314
# NCVerilog uses same command file as Icarus
315
SIM_COMMANDFILE=$(ICARUS_COMMAND_FILE)
316
endif
317
 
318 55 julius
GENERATED_COMMANDFILE=$(SIM_COMMANDFILE).generated
319 51 julius
 
320 55 julius
# When Modelsim is selected as simulator, we compile
321
# the ORPSoC system into one library called orpsoc and
322
# then simply re-compile the testbench and or1200_monitor
323
# whenever we run the simulation, so just that part is
324
# recompiled for every test, instead of the whole thing.
325
MGC_ORPSOC_LIB=orpsoc
326
MGC_ORPSOC_LIB_DIR=$(SIM_RUN_DIR)/$(MGC_ORPSOC_LIB)
327
 
328
# If VCD dump is desired, tell Modelsim not to optimise
329
# away everything.
330
ifeq ($(VCD), 1)
331
VOPT_ARGS=-voptargs="+acc=rnp"
332
endif
333
 
334 67 julius
# RTL testbench toplevel name
335
RTL_TESTBENCH_TOP ?= orpsoc_testbench
336
 
337 55 julius
# Simulation compile and run commands, depending on your
338 58 julius
# simulator.
339
 
340
# Icarus Verilog
341
ifeq ($(SIMULATOR), $(ICARUS))
342
# Icarus Verilog Simulator compile and run commands
343 67 julius
SIM_COMMANDCOMPILE=rm -f $(SIM_RUN_DIR)/a.out; $(ICARUS) -s$(RTL_TESTBENCH_TOP) -c $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) $(EVENT_SIM_FLAGS)
344 58 julius
# Icarus Verilog run command
345
SIM_COMMANDRUN=$(ICARUS_VVP) -l $(SIM_RESULTS_DIR)/$$TEST-vvp-out.log a.out
346
endif
347
 
348
# Modelsim
349
ifeq ($(SIMULATOR), $(VSIM))
350 55 julius
# Line to compile the orpsoc design into a modelsim library.
351 67 julius
SIM_COMMANDCOMPILE=if [ ! -e work ]; then vlib work; vlib $(MGC_ORPSOC_LIB); vlog -work $(MGC_ORPSOC_LIB) -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); fi
352 55 julius
# Final modelsim compile, done each time, pulling in or1200
353
# monitor and the new test_defines.v file:
354 67 julius
VSIM_COMPILE_TB=vlog +incdir+. +incdir+$(BENCH_VERILOG_DIR) +incdir+$(BENCH_TOP_VERILOG_DIR) +incdir+$(RTL_VERILOG_DIR) +define+TEST_DEFINE_FILE $(BENCH_VERILOG_DIR)/or1200_monitor.v $(BENCH_TOP_VERILOG_DIR)/$(RTL_TESTBENCH_TOP).v
355 55 julius
# Simulation run command:
356 67 julius
SIM_COMMANDRUN=$(VSIM_COMPILE_TB); $(VSIM) -c -quiet +nowarnTFMPC -L $(MGC_ORPSOC_LIB) $(VOPT_ARGS) -do "run -all; exit" $(RTL_TESTBENCH_TOP)
357 55 julius
endif
358
 
359 58 julius
# NCVerilog
360
ifeq ($(SIMULATOR), $(NCVERILOG))
361
SIM_COMMANDCOMPILE=echo
362
SIM_COMMANDRUN=$(NCVERILOG) -f $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) -Q -l $(SIM_RESULTS_DIR)/$$TEST-$(NCVERILOG)-out.log $(EVENT_SIM_FLAGS)
363 6 julius
endif
364
 
365 58 julius
# Names of memory files used in simulation
366 6 julius
SIM_FLASH_MEM_FILE="flash.in"
367
FLASH_MEM_FILE_SUFFIX="-twobyte-sizefirst.hex"
368
SIM_SRAM_MEM_FILE="sram.vmem"
369
 
370
TESTS_PASSED=0
371
TESTS_PERFORMED=0;
372
 
373
################################################################################
374 58 julius
# Event-driven simulator build rules
375 6 julius
################################################################################
376
 
377 51 julius
$(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v:
378
        @cd $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl && perl fizzim.pl -encoding onehot -terse < wb_sdram_ctrl_fsm.fzm > wb_sdram_ctrl_fsm.v
379 6 julius
 
380 57 julius
.PHONY: prepare-rtl
381
prepare-rtl: $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
382 6 julius
 
383 55 julius
$(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE): $(SIM_BIN_DIR)/$(SIM_COMMANDFILE)
384 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(SIM_COMMANDFILE) > $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) \
385 55 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
386
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
387
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
388
                -e \\!^//.*\$$!d -e \\!^\$$!d ; \
389
        echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
390
        if [ ! -z $$VCD ]; \
391
                then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
392 58 julius
                if [ $(SIMULATOR) = $(NCVERILOG) ]; \
393
                        then echo "+access+r" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
394
                fi; \
395 55 julius
        fi; \
396
        if [ ! -z $$UART_PRINTF ]; \
397
                then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
398 58 julius
        fi; \
399
        if [ $(SIMULATOR) = $(NCVERILOG) ]; \
400
                then echo "+nocopyright" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
401
                echo "+nowarn+MACRDF" >> $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE); \
402 55 julius
        fi
403 51 julius
 
404 6 julius
ifdef UART_PRINTF
405 44 julius
TEST_SW_MAKE_OPTS="UART_PRINTF=1"
406 6 julius
endif
407
 
408 57 julius
.PHONY: prepare-sw
409
prepare-sw:
410
        $(Q)$(MAKE) -C $(SW_DIR)/support all $(TEST_SW_MAKE_OPTS)
411
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
412 6 julius
 
413
# A rule with UART_PRINTF hard defined ... used by verilator make sw
414 57 julius
prepare-sw-uart-printf:
415
        $(Q)$(MAKE) -C $(SW_DIR)/support all UART_PRINTF=1 $(TEST_SW_MAKE_OPTS)
416
        $(Q)$(MAKE) -C $(SW_DIR)/utils all
417 6 julius
 
418 57 julius
prepare-dirs:
419
        $(Q)if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
420 6 julius
 
421 55 julius
#
422
# Rough guide to how event driven simulation test loop works:
423
#
424
# 1. Compile software support programs.
425
# 2. Generate RTL compilation script file
426
# 3. For each test listed in $(TESTS), loop and
427
#       a) Compile software
428
#       b) Create appropriate image to be loaded into sim
429
#       c) Create a verilog file to be included by top level
430
#       d) Compile the RTL design
431
#       e) Run the RTL design in the chosen simulator
432
#       f) Check the output (files in ../results)
433
#
434
# Default setup is:
435
#       * Event-driven simulation with Icarus Verilog
436
#       * Internal SRAM memory, preloaded with application
437
#       * Ethernet disabled
438
#       * VCD generation disabled
439
#       * printf() via UART disabled
440
#       * Logging enabled
441
#
442
# Options:
443
#       SIMULATOR=vsim
444
#               Use Mentor Graphics Modelsim simulator
445 58 julius
#       SIMULATOR=ncverilog
446
#               Use Cadence's NC-Verilog
447 55 julius
#       USE_SDRAM=1
448
#               Enable use of SDRAM - changes boot sequence and takes
449
#               a lot longer due to application being loaded out of
450
#               external FLASH memory and into SDRAM before execution
451
#               from the SDRAM.
452
#       VCD=1
453
#               Enable VCD generation. These files are output to
454
#               ../results
455
#       USE_ETHERNET=1
456
#               Turns on ethernet core inclusion. There are currently
457
#               some tests, but not included by default. Check the sw
458
#               directory
459
#       UART_PRINTF=1
460
#               Make the software use the UART core to print out
461
#               printf() calls.
462
#       NO_SIM_LOGGING=1
463
#               Turn off generation of logging files in the ../results
464
#               directory.
465
#
466 57 julius
rtl-tests: $(SIM_RUN_DIR)/$(GENERATED_COMMANDFILE) prepare-sw prepare-rtl prepare-dirs
467 6 julius
        @echo
468
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
469
        @echo
470 57 julius
        $(Q)for TEST in $(TESTS); do \
471 6 julius
                echo "################################################################################"; \
472
                echo; \
473
                echo "\t#### Current test: $$TEST ####"; echo; \
474
                echo "\t#### Compiling software ####"; echo; \
475
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
476
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS); \
477
                rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
478
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
479
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
480
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
481 55 julius
                echo "\`define TEST_NAME_STRING \"$$TEST\"" > $(SIM_RUN_DIR)/test_define.v; \
482
                echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v; \
483 6 julius
                if [ ! -z $$VCD ]; \
484 55 julius
                        then echo "\`define VCD" >> $(SIM_RUN_DIR)/test_define.v; \
485 6 julius
                fi; \
486
                if [ ! -z $$UART_PRINTF ]; \
487 55 julius
                        then echo "\`define UART_PRINTF" >> $(SIM_RUN_DIR)/test_define.v; \
488 6 julius
                fi; \
489 44 julius
                if echo $$TEST | grep -q -i ^eth; then \
490
                        echo "\`define ENABLE_ETH_STIM" >> $(SIM_RUN_DIR)/test_define.v; \
491
                        echo "\`define ETH_PHY_VERBOSE" >> $(SIM_RUN_DIR)/test_define.v; \
492
                fi; \
493 43 julius
                if [ -z $$NO_SIM_LOGGING ]; then \
494 6 julius
                        echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; \
495
                fi; \
496
                echo ; \
497
                echo "\t#### Compiling RTL ####"; \
498 55 julius
                $(SIM_COMMANDCOMPILE); \
499 6 julius
                echo; \
500
                echo "\t#### Beginning simulation ####"; \
501 55 julius
                time -p $(SIM_COMMANDRUN) ; \
502 6 julius
                if [ $$? -gt 0 ]; then exit $$?; fi; \
503
                TEST_RESULT=`cat $(SIM_RESULTS_DIR)/$$TEST-general.log | grep report | grep $(SIM_SUCCESS_MESSAGE) -c`; \
504
                echo; echo "\t####"; \
505
                if [ $$TEST_RESULT -gt 0 ]; then \
506
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
507
                else    echo "\t#### Test $$TEST FAILED ####";\
508
                fi; \
509
                echo "\t####"; echo; \
510
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
511
        done; \
512
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
513
 
514
################################################################################
515 40 julius
# RTL simulation in Icarus with GDB stub via VPI for debugging
516
################################################################################
517
# This compiles a version of the system which starts up the dhrystone nocache
518
# test, and launches the simulator with a VPI module that provides a GDB stub
519
# allowing the OpenRISC compatible GDB to connect and debug the system.
520
# The launched test can be changed by defining VPI_TEST_SW on the make line
521
VPI_DIR=$(BENCH_VERILOG_DIR)/vpi
522
VPI_C_DIR=$(VPI_DIR)/c
523
VPI_VERILOG_DIR=$(VPI_DIR)/verilog
524
VPI_LIB_NAME=jp_vpi
525
ICARUS_VPI_OPTS=-M$(VPI_C_DIR) -m$(VPI_LIB_NAME)
526
VPI_TEST_SW ?= dhry-nocache-O2
527
 
528 57 julius
prepare-vpi:
529 40 julius
## Build the VPI library
530
        $(MAKE) -C $(VPI_C_DIR) $(VPI_LIB_NAME)
531
 
532 49 julius
clean-vpi:
533 40 julius
        $(MAKE) -C $(VPI_C_DIR) clean
534
 
535 57 julius
rtl-debug: prepare-sw-uart-printf prepare-rtl prepare-vpi prepare-dirs
536 40 julius
## Prepare the software for the test
537
        @echo "\t#### Compiling software ####"; echo; \
538
        CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $(VPI_TEST_SW) | cut -d "-" -f 1`; \
539
        $(MAKE) -C $$CURRENT_TEST_SW_DIR $(VPI_TEST_SW) $(TEST_SW_MAKE_OPTS); \
540
        rm -f $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
541
        rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
542
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW)$(FLASH_MEM_FILE_SUFFIX) $(SIM_RUN_DIR)/$(SIM_FLASH_MEM_FILE); \
543
        ln -s $$CURRENT_TEST_SW_DIR/$(VPI_TEST_SW).vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE)
544
## Generate the icarus script we'll compile with
545 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(ICARUS_COMMAND_FILE) > $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated \
546 40 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
547
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
548
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
549
                -e \\!^//.*\$$!d -e \\!^\$$!d
550
## Add a couple of extra defines to the icarus compile script
551 57 julius
        $(Q)echo "+define+TEST_DEFINE_FILE=\"test_define.v\"" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
552 40 julius
## The define that enables the VPI debug module
553 57 julius
        $(Q)echo "+define+VPI_DEBUG_ENABLE" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated
554
        $(Q)if [ ! -z $$VCD ];then echo "+define+VCD" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated;fi
555 40 julius
## Unless NO_UART_PRINTF=1 we use printf via the UART
556 57 julius
        $(Q)if [ -z $$NO_UART_PRINTF ];then echo "+define+UART_PRINTF" >> $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated; fi
557
        $(Q)echo "\`define TEST_NAME_STRING \"$(VPI_TEST_SW)-vpi\"" > $(SIM_RUN_DIR)/test_define.v
558
        $(Q)echo "\`define TEST_RESULTS_DIR \"$(SIM_RESULTS_DIR)/\" " >> $(SIM_RUN_DIR)/test_define.v
559
        $(Q)if [ -z $$NO_SIM_LOGGING ]; then echo "\`define OR1200_DISPLAY_ARCH_STATE" >> $(SIM_RUN_DIR)/test_define.v; fi
560 40 julius
        @echo
561
        @echo "\t#### Compiling RTL ####"
562 57 julius
        $(Q)rm -f $(SIM_RUN_DIR)/a.out
563 67 julius
        $(Q)$(ICARUS) -s$(RTL_TESTBENCH_TOP) -c $(SIM_RUN_DIR)/$(ICARUS_COMMAND_FILE).generated $(EVENT_SIM_FLAGS)
564 40 julius
        @echo
565
        @echo "\t#### Beginning simulation with VPI debug module enabled ####"; echo
566 57 julius
        $(Q)$(ICARUS_VVP) $(ICARUS_VPI_OPTS) -l $(SIM_RESULTS_DIR)/$(VPI_TEST_SW)-vvp-out.log a.out
567 40 julius
 
568
################################################################################
569 6 julius
# Verilator model build rules
570
################################################################################
571
 
572
 
573
SYSC_LIB_ARCH_DIR=$(shell ls $$SYSTEMC | grep "lib-")
574
 
575
 
576
# List of System C models - use this list to link the sources into the Verilator
577
# build directory
578 51 julius
SYSC_MODELS=OrpsocAccess MemoryLoad
579 6 julius
 
580 49 julius
ifdef VLT_DEBUG
581
VLT_DEBUG_COMPILE_FLAGS = -g
582
# Enabling the following generates a TON of debugging
583
# when running verilator. Not so helpful.
584
#VLT_DEBUG_OPTIONS = --debug --dump-tree
585
VLT_SYSC_DEBUG_DEFINE = VLT_DEBUG=1
586 6 julius
endif
587
 
588 49 julius
# If set on the command line we build the cycle accurate model which will generate verilator-specific profiling information. This is useful for checking the efficiency of the model - not really useful for checking code or the function of the model.
589
ifdef VLT_ORPSOC_PROFILING
590 63 julius
VLT_CPPFLAGS +=-pg
591 49 julius
VLT_DEBUG_OPTIONS +=-profile-cfuncs
592
else
593 63 julius
VLT_CPPFLAGS +=-fprofile-use -Wcoverage-mismatch
594 53 julius
#VLT_CPPFLAGS=-Wall
595 49 julius
endif
596
 
597 63 julius
# Set VLT_IN_GDB=1 when making if going to run the cycle accurate model executable in GDB to check suspect behavior. This also removes optimisation.
598
ifdef VLT_IN_GDB
599
VLT_CPPFLAGS +=-g -O0
600
else
601
# The default optimisation flag applied to all of the cycle accurate model files
602
VLT_CPPFLAGS +=-O3
603
endif
604
 
605 49 julius
ifdef VLT_DO_PROFILING
606 63 julius
VLT_CPPFLAGS +=-ftest-coverage -fprofile-arcs -fprofile-generate
607 49 julius
endif
608
 
609
# VCD Enabled by default when building, enable it at runtime
610
#ifdef VCD
611
VLT_FLAGS +=-trace
612
TRACE_FLAGS=-DVM_TRACE=1 -I${SYSTEMPERL}/src
613
#endif
614
 
615 6 julius
# Only need the trace target if we are tracing
616 49 julius
#ifneq (,$(findstring -trace, $(VLT_FLAGS)))
617 6 julius
VLT_TRACEOBJ = SpTraceVcdC
618 49 julius
#endif
619 6 julius
 
620
# This is the list of extra models we'll issue make commands for
621
# Included is the SystemPerl trace model
622
SYSC_MODELS_BUILD=$(SYSC_MODELS) $(VLT_TRACEOBJ)
623
 
624 63 julius
prepare-vlt: prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
625 54 julius
        @echo;echo "\tCycle-accurate model compiled successfully"
626
        @echo;echo "\tRun the executable with the -h option for usage instructions:";echo
627
        $(SIM_VLT_DIR)/Vorpsoc_top -h
628
        @echo;echo
629 6 julius
 
630
$(SIM_VLT_DIR)/Vorpsoc_top: $(SIM_VLT_DIR)/libVorpsoc_top.a $(SIM_VLT_DIR)/OrpsocMain.o
631
# Final linking of the simulation executable. Order of libraries here is important!
632
        @echo; echo "\tGenerating simulation executable"; echo
633 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -o Vorpsoc_top -L. -L$(BENCH_SYSC_SRC_DIR) -L$(SYSTEMC)/$(SYSC_LIB_ARCH_DIR) OrpsocMain.o -lVorpsoc_top -lmodules -lsystemc
634 6 julius
 
635 51 julius
# Now compile the top level systemC "testbench" module from the systemC source path
636
$(SIM_VLT_DIR)/OrpsocMain.o: $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
637 6 julius
        @echo; echo "\tCompiling top level SystemC testbench"; echo
638 49 julius
        cd $(SIM_VLT_DIR) && g++ $(VLT_DEBUG_COMPILE_FLAGS) $(VLT_CPPFLAGS) $(TRACE_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(SIM_VLT_DIR) -I$(VERILATOR_ROOT)/include -I$(SYSTEMC)/include -c $(BENCH_SYSC_SRC_DIR)/OrpsocMain.cpp
639 6 julius
 
640 57 julius
$(SIM_VLT_DIR)/libVorpsoc_top.a: $(SIM_VLT_DIR)/Vorpsoc_top__ALL.a vlt-modules-compile $(SIM_VLT_DIR)/verilated.o
641 6 julius
# Now archive all of the libraries from verilator witht he other modules we might have
642
        @echo; echo "\tArchiving libraries into libVorpsoc_top.a"; echo
643 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
644 6 julius
        cp Vorpsoc_top__ALL.a libVorpsoc_top.a && \
645
        ar rcs libVorpsoc_top.a verilated.o; \
646
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
647
                ar rcs libVorpsoc_top.a $$SYSCMODEL.o; \
648
        done
649
 
650
$(SIM_VLT_DIR)/verilated.o:
651
        @echo; echo "\tCompiling verilated.o"; echo
652 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
653 49 julius
        export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
654
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
655
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
656 6 julius
        $(MAKE) -f Vorpsoc_top.mk verilated.o
657
 
658 57 julius
.PHONY: vlt-modules-compile
659
vlt-modules-compile:
660 6 julius
# Compile the module files
661
        @echo; echo "\tCompiling SystemC models"
662 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
663 6 julius
        for SYSCMODEL in $(SYSC_MODELS_BUILD); do \
664
                echo;echo "\t$$SYSCMODEL"; echo; \
665 49 julius
                export CXXFLAGS=$(VLT_DEBUG_COMPILE_FLAGS); \
666 51 julius
                export USER_CPPFLAGS="$(VLT_CPPFLAGS) -I$(BENCH_SYSC_INCLUDE_DIR)"; \
667 49 julius
                export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
668
                 $(MAKE) -f Vorpsoc_top.mk $$SYSCMODEL.o; \
669
        done
670 6 julius
 
671
$(SIM_VLT_DIR)/Vorpsoc_top__ALL.a: $(SIM_VLT_DIR)/Vorpsoc_top.mk
672
        @echo; echo "\tCompiling main design"; echo
673 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
674 49 julius
        export USER_CPPFLAGS="$(VLT_CPPFLAGS)"; \
675
        export USER_LDDFLAGS="$(VLT_CPPFLAGS)"; \
676 6 julius
        $(MAKE) -f Vorpsoc_top.mk Vorpsoc_top__ALL.a
677
 
678
$(SIM_VLT_DIR)/Vorpsoc_top.mk: $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated $(SIM_VLT_DIR)/libmodules.a
679
# Now call verilator to generate the .mk files
680
        @echo; echo "\tGenerating makefiles with Verilator"; echo
681
        cd $(SIM_VLT_DIR) && \
682 49 julius
        verilator -language 1364-2001 -Wno-lint --top-module orpsoc_top $(VLT_DEBUG_OPTIONS) -Mdir . -sc $(VLT_FLAGS) -I$(BENCH_SYSC_INCLUDE_DIR) -I$(BENCH_SYSC_SRC_DIR) -f $(VLT_COMMAND_FILE).generated
683 6 julius
 
684
# SystemC modules library
685
$(SIM_VLT_DIR)/libmodules.a:
686
        @echo; echo "\tCompiling SystemC modules"; echo
687 57 julius
        $(Q)export VLT_CPPFLAGS="$(VLT_CPPFLAGS)"; \
688 49 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make $(VLT_SYSC_DEBUG_DEFINE)
689 6 julius
 
690
 
691 51 julius
ALL_VLOG=$(shell find $(RTL_VERILOG_DIR) -name "*.v")
692
 
693 6 julius
# Verilator command script
694 51 julius
# Generate the compile script to give Verilator - make it sensitive to the RTL
695
$(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated: $(ALL_VLOG)
696 6 julius
        @echo; echo "\tGenerating verilator compile script"; echo
697 57 julius
        $(Q)sed < $(SIM_BIN_DIR)/$(VLT_COMMAND_FILE) > $(SIM_VLT_DIR)/$(VLT_COMMAND_FILE).generated \
698 6 julius
                -e s!\$$BENCH_DIR!$(BENCH_VERILOG_DIR)!              \
699
                -e s!\$$RTL_DIR!$(RTL_VERILOG_DIR)!                  \
700
                -e s!\$$BACKEND_DIR!$(BACKEND_DIR)!                  \
701
                -e \\!^//.*\$$!d -e \\!^\$$!d;
702
 
703 63 julius
.PHONY: vlt-model-links
704
vlt-model-links:
705 6 julius
# Link all the required system C model files into the verilator work dir
706
        @echo; echo "\tLinking SystemC model source to verilator build path"; echo
707
        @if [ ! -d $(SIM_VLT_DIR) ]; then mkdir $(SIM_VLT_DIR); fi
708 57 julius
        $(Q)cd $(SIM_VLT_DIR) && \
709 6 julius
        for SYSCMODEL in $(SYSC_MODELS); do \
710
                if [ ! -e $$SYSCMODEL.cpp ]; then \
711
                        ln -s $(BENCH_SYSC_SRC_DIR)/$$SYSCMODEL.cpp .; \
712
                        ln -s $(BENCH_SYSC_INCLUDE_DIR)/$$SYSCMODEL.h .; \
713
                fi; \
714
        done
715
 
716
 
717
################################################################################
718
# Verilator test loop
719
################################################################################
720
 
721
# Verilator defaults to internal memories
722 66 julius
vlt-tests: prepare-sw prepare-rtl prepare-dirs prepare-vlt
723 6 julius
        @echo
724
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
725
        @echo
726 57 julius
        $(Q)for TEST in $(TESTS); do \
727 6 julius
                echo "################################################################################"; \
728
                echo; \
729
                echo "\t#### Current test: $$TEST ####"; echo; \
730
                echo "\t#### Compiling software ####"; echo; \
731
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
732
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
733
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
734
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.vmem $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
735
                echo "\t#### Beginning simulation ####"; \
736
                time -p $(SIM_VLT_DIR)/Vorpsoc_top $$TEST; \
737
                if [ $$? -gt 0 ]; then exit $$?; fi; \
738
                TEST_RESULT=1; \
739
                echo; echo "\t####"; \
740
                if [ $$TEST_RESULT -gt 0 ]; then \
741
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
742
                else    echo "\t#### Test $$TEST FAILED ####";\
743
                fi; \
744
                echo "\t####"; echo; \
745
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
746
        done; \
747
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
748
 
749 49 julius
###############################################################################
750
# Verilator profiled module make
751
###############################################################################
752 57 julius
# To run this, first run a "make prepare-vlt VLT_DO_PROFILING=1" then do a
753
# "make clean" and then a "make prepare-vlt_profiled"
754 49 julius
# This new make target copies athe results of the profiling back to the right
755
# paths before we create everything again
756
###############################################################################
757 63 julius
.PHONY: prepare-vlt-profiled
758
prepare-vlt-profiled: $(SIM_VLT_DIR)/OrpsocMain.gcda clean vlt-restore-profileoutput prepare-rtl vlt-model-links $(SIM_VLT_DIR)/Vorpsoc_top
759 6 julius
 
760 63 julius
$(SIM_VLT_DIR)/OrpsocMain.gcda: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling prepare-sw-uart-printf
761
        $(MAKE) -C $(SW_DIR)/dhry dhry-nocache-O2 NUM_RUNS=200
762
        $(SIM_VLT_DIR)/Vorpsoc_top -f $(SW_DIR)/dhry/dhry-nocache-O2.or32 -v -l sim.log --crash-monitor
763
 
764
.PHONY: $(SIM_VLT_DIR)/Vorpsoc_top-for-profiling
765
$(SIM_VLT_DIR)/Vorpsoc_top-for-profiling:
766
        $(MAKE) prepare-vlt VLT_DO_PROFILING=1
767
 
768
.PHONY: vlt-restore-profileoutput
769 57 julius
vlt-restore-profileoutput:
770 49 julius
        @echo;echo "\tRestoring profiling outputs"; echo
771 57 julius
        $(Q)mkdir -p ../vlt
772
        $(Q)cp /tmp/*.gc* $(SIM_VLT_DIR)
773
        $(Q)cp /tmp/*.gc* $(BENCH_SYSC_SRC_DIR)
774 6 julius
 
775
################################################################################
776
# Architectural simulator test loop
777
################################################################################
778
 
779
# Verilator defaults to internal memories
780 66 julius
sim-tests: prepare-sw
781 6 julius
        @if [ ! -d $(SIM_RESULTS_DIR) ]; then mkdir -p $(SIM_RESULTS_DIR); fi
782
        @echo
783
        @echo "Beginning loop that will complete the following tests: $(TESTS)"
784
        @echo
785 57 julius
        $(Q)for TEST in $(TESTS); do \
786 6 julius
                echo "################################################################################"; \
787
                echo; \
788
                echo "\t#### Current test: $$TEST ####"; echo; \
789
                echo "\t#### Compiling software ####"; echo; \
790
                CURRENT_TEST_SW_DIR=$(SW_DIR)/`echo $$TEST | cut -d "-" -f 1`; \
791
                $(MAKE) -C $$CURRENT_TEST_SW_DIR $$TEST $(TEST_SW_MAKE_OPTS) UART_PRINTF=1; \
792
                rm -f $(SIM_RUN_DIR)/$(SIM_SRAM_MEM_FILE); \
793
                ln -s $$CURRENT_TEST_SW_DIR/$$TEST.or32 $(SIM_RUN_DIR)/.; \
794
                echo;echo "\t#### Launching architectural simulator ####"; \
795
                time -p $(ARCH_SIM_EXE) --nosrv -f $(SIM_BIN_DIR)/$(ARCH_SIM_CFG_FILE) $$TEST.or32 > $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log 2>&1; \
796
                if [ $$? -gt 0 ]; then exit $$?; fi; \
797
                if [ `tail -n 10 $(SIM_RESULTS_DIR)/$$TEST-or1ksim.log | grep -c $(SIM_SUCCESS_MESSAGE)` -gt 0 ]; then \
798
                        TEST_RESULT=1; \
799
                fi; \
800
                echo; echo "\t####"; \
801
                if [ $$TEST_RESULT -gt 0 ]; then \
802
                        echo "\t#### Test $$TEST PASSED ####";TESTS_PASSED=`expr $$TESTS_PASSED + 1`;\
803
                else    echo "\t#### Test $$TEST FAILED ####";\
804
                fi; \
805
                echo "\t####"; echo; \
806
                TESTS_PERFORMED=`expr $$TESTS_PERFORMED + 1`;\
807
                unlink $(SIM_RUN_DIR)/$$TEST.or32; \
808
        done; \
809
        echo "Test results: "$$TESTS_PASSED" out of "$$TESTS_PERFORMED" tests passed"; echo
810
 
811
 
812
 
813
################################################################################
814
# Cleaning rules
815
################################################################################
816
 
817 49 julius
clean: clean-sw clean-sim clean-sysc clean-rtl clean-vpi
818 6 julius
 
819
clean-sw:
820 44 julius
        @for SWDIR in `ls $(SW_DIR)`; do \
821
                echo $$SWDIR; \
822
                $(MAKE) -C $(SW_DIR)/$$SWDIR clean; \
823 6 julius
        done
824
 
825
clean-sim:
826 49 julius
#backup any profiling output files
827 51 julius
        @if [ -f $(SIM_VLT_DIR)/OrpsocMain.gcda ]; then echo;echo "\tBacking up verilator profiling output to /tmp"; echo; \
828 49 julius
        cp $(SIM_VLT_DIR)/*.gc* /tmp; \
829
        cp $(BENCH_SYSC_SRC_DIR)/*.gc* /tmp; fi
830 55 julius
        rm -rf $(SIM_RESULTS_DIR) $(SIM_RUN_DIR)/*.* $(SIM_VLT_DIR) $(MGC_ORPSOC_LIB_DIR) $(SIM_RUN_DIR)/work $(SIM_RUN_DIR)/transcript
831 36 julius
 
832
clean-sysc:
833
# Clean away dependency files generated by verilator
834 42 julius
        $(MAKE) -C $(BENCH_SYSC_SRC_DIR) -f $(BENCH_SYSC_SRC_DIR)/Modules.make clean
835 36 julius
 
836
clean-rtl:
837
# Clean away temporary verilog source files
838 44 julius
        rm -f $(RTL_VERILOG_DIR)/components/wb_sdram_ctrl/wb_sdram_ctrl_fsm.v
839
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.