OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [trunk/] [rtos/] [ecos-2.0/] [packages/] [devs/] [flash/] [arm/] [iq80310/] [v2_0/] [cdl/] [flash_iq80310.cdl] - Blame information for rev 174

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 unneback
# ====================================================================
2
#
3
#      flash_iq80310.cdl
4
#
5
#      FLASH memory - Hardware support on IQ80200/80310
6
#
7
# ====================================================================
8
#####ECOSGPLCOPYRIGHTBEGIN####
9
## -------------------------------------------
10
## This file is part of eCos, the Embedded Configurable Operating System.
11
## Copyright (C) 1998, 1999, 2000, 2001, 2002 Red Hat, Inc.
12
## Copyright (C) 2002 Gary Thomas
13
##
14
## eCos is free software; you can redistribute it and/or modify it under
15
## the terms of the GNU General Public License as published by the Free
16
## Software Foundation; either version 2 or (at your option) any later version.
17
##
18
## eCos is distributed in the hope that it will be useful, but WITHOUT ANY
19
## WARRANTY; without even the implied warranty of MERCHANTABILITY or
20
## FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
21
## for more details.
22
##
23
## You should have received a copy of the GNU General Public License along
24
## with eCos; if not, write to the Free Software Foundation, Inc.,
25
## 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA.
26
##
27
## As a special exception, if other files instantiate templates or use macros
28
## or inline functions from this file, or you compile this file and link it
29
## with other works to produce a work based on this file, this file does not
30
## by itself cause the resulting work to be covered by the GNU General Public
31
## License. However the source code for this file must still be made available
32
## in accordance with section (3) of the GNU General Public License.
33
##
34
## This exception does not invalidate any other reasons why a work based on
35
## this file might be covered by the GNU General Public License.
36
##
37
## Alternative licenses for eCos may be arranged by contacting Red Hat, Inc.
38
## at http://sources.redhat.com/ecos/ecos-license/
39
## -------------------------------------------
40
#####ECOSGPLCOPYRIGHTEND####
41
# ====================================================================
42
######DESCRIPTIONBEGIN####
43
#
44
# Author(s):      msalter
45
# Original data:  msalter, gthomas
46
# Contributors:
47
# Date:           2000-10-10
48
#
49
#####DESCRIPTIONEND####
50
#
51
# ====================================================================
52
 
53
cdl_package CYGPKG_DEVS_FLASH_ARM_XSCALE_IQ80310 {
54
    display       "Cyclone IQ80310 FLASH memory support"
55
 
56
    parent        CYGPKG_IO_FLASH
57
    active_if     CYGPKG_IO_FLASH
58
    requires      CYGPKG_HAL_ARM_XSCALE_IOP310
59
 
60
    implements    CYGHWR_IO_FLASH_DEVICE
61
    implements    CYGHWR_IO_FLASH_DEVICE_NOT_IN_RAM
62
    implements    CYGHWR_IO_FLASH_BLOCK_LOCKING
63
 
64
    include_dir   .
65
    include_files ; # none _exported_ whatsoever
66
    description   "FLASH memory device support for Cyclone IQ80310"
67
    compile       iq80310_flash.c
68
 
69
    make -priority 1 {
70
        flash_erase_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_erase_block.c
71
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_erase_block.c
72
        echo " .globl flash_erase_block_end" >>flash_erase_block.s
73
        echo "flash_erase_block_end:" >>flash_erase_block.s
74
        $(CC) $(CFLAGS) -c -o flash_erase_block.o flash_erase_block.s
75
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_erase_block.o
76
    }
77
    make -priority 1 {
78
        flash_program_buf.o: $(REPOSITORY)/$(PACKAGE)/src/flash_program_buf.c
79
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_program_buf.c
80
        echo " .globl flash_program_buf_end" >>flash_program_buf.s
81
        echo "flash_program_buf_end:" >>flash_program_buf.s
82
        $(CC) $(CFLAGS) -c -o flash_program_buf.o flash_program_buf.s
83
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_program_buf.o
84
    }
85
    make -priority 1 {
86
        flash_query.o: $(REPOSITORY)/$(PACKAGE)/src/flash_query.c
87
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_query.c
88
        echo " .globl flash_query_end" >>flash_query.s
89
        echo "flash_query_end:" >>flash_query.s
90
        $(CC) $(CFLAGS) -c -o flash_query.o flash_query.s
91
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_query.o
92
    }
93
    make -priority 1 {
94
        flash_lock_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_lock_block.c
95
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_lock_block.c
96
        echo " .globl flash_lock_block_end" >>flash_lock_block.s
97
        echo "flash_lock_block_end:" >>flash_lock_block.s
98
        $(CC) $(CFLAGS) -c -o flash_lock_block.o flash_lock_block.s
99
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_lock_block.o
100
    }
101
    make -priority 1 {
102
        flash_unlock_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_unlock_block.c
103
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_unlock_block.c
104
        echo " .globl flash_unlock_block_end" >>flash_unlock_block.s
105
        echo "flash_unlock_block_end:" >>flash_unlock_block.s
106
        $(CC) $(CFLAGS) -c -o flash_unlock_block.o flash_unlock_block.s
107
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_unlock_block.o
108
    }
109
}
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.