OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [trunk/] [rtos/] [ecos-2.0/] [packages/] [devs/] [flash/] [intel/] [strata/] [v2_0/] [cdl/] [flash_strata.cdl] - Blame information for rev 174

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 unneback
# ====================================================================
2
#
3
#      flash_strata.cdl
4
#
5
#      FLASH memory - Hardware support for Intel Strata Flash
6
#
7
# ====================================================================
8
#####ECOSGPLCOPYRIGHTBEGIN####
9
## -------------------------------------------
10
## This file is part of eCos, the Embedded Configurable Operating System.
11
## Copyright (C) 1998, 1999, 2000, 2001, 2002 Red Hat, Inc.
12
##
13
## eCos is free software; you can redistribute it and/or modify it under
14
## the terms of the GNU General Public License as published by the Free
15
## Software Foundation; either version 2 or (at your option) any later version.
16
##
17
## eCos is distributed in the hope that it will be useful, but WITHOUT ANY
18
## WARRANTY; without even the implied warranty of MERCHANTABILITY or
19
## FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
20
## for more details.
21
##
22
## You should have received a copy of the GNU General Public License along
23
## with eCos; if not, write to the Free Software Foundation, Inc.,
24
## 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA.
25
##
26
## As a special exception, if other files instantiate templates or use macros
27
## or inline functions from this file, or you compile this file and link it
28
## with other works to produce a work based on this file, this file does not
29
## by itself cause the resulting work to be covered by the GNU General Public
30
## License. However the source code for this file must still be made available
31
## in accordance with section (3) of the GNU General Public License.
32
##
33
## This exception does not invalidate any other reasons why a work based on
34
## this file might be covered by the GNU General Public License.
35
##
36
## Alternative licenses for eCos may be arranged by contacting Red Hat, Inc.
37
## at http://sources.redhat.com/ecos/ecos-license/
38
## -------------------------------------------
39
#####ECOSGPLCOPYRIGHTEND####
40
# ====================================================================
41
######DESCRIPTIONBEGIN####
42
#
43
# Author(s):      gthomas
44
# Original data:  gthomas
45
# Contributors:
46
# Date:           2000-07-26
47
#
48
#####DESCRIPTIONEND####
49
#
50
# ====================================================================
51
 
52
cdl_package CYGPKG_DEVS_FLASH_STRATA {
53
    display       "Intel StrataFLASH memory support"
54
 
55
    parent        CYGPKG_IO_FLASH
56
    active_if     CYGPKG_IO_FLASH
57
 
58
    implements    CYGHWR_IO_FLASH_DEVICE
59
 
60
#    implements    CYGHWR_IO_FLASH_DEVICE_NOT_IN_RAM
61
    cdl_option CYGOPT_DEVS_FLASH_STRATA_NOT_IN_RAM {
62
        display   "Control Flash driver code copy to RAM"
63
        flavor    bool
64
        no_define
65
        default_value 1
66
        implements    CYGHWR_IO_FLASH_DEVICE_NOT_IN_RAM
67
        description "
68
        Rarely, it is useful to disable the request that flash driver code
69
        be copied to separate RAM for execution.  This option lets you do that.
70
        It should only be controlled by redboot_XXX.ecm config files, for
71
        building different varieties of RedBoot.
72
        NEVER disable this option for ROM startup code, which executes in place
73
        from Flash: the build will not work."
74
    }
75
 
76
    active_if     CYGINT_DEVS_FLASH_STRATA_REQUIRED
77
 
78
    include_dir   .
79
    include_files ; # none _exported_ whatsoever
80
    description   "FLASH memory device support for Intel StrataFlash"
81
    compile       strata.c
82
 
83
    define_proc {
84
        puts $::cdl_header "#include ";
85
        puts $::cdl_header "#include CYGDAT_DEVS_FLASH_STRATA_CFG";
86
    }
87
 
88
    make -priority 1 {
89
        flash_erase_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_erase_block.c \
90
                             $(REPOSITORY)/$(PACKAGE)/src/strata.h
91
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_erase_block.c
92
        echo " .text" >>flash_erase_block.s
93
        echo " .globl flash_erase_block_end" >>flash_erase_block.s
94
        echo "flash_erase_block_end:" >>flash_erase_block.s
95
        $(CC) $(CFLAGS) -c -o flash_erase_block.o flash_erase_block.s
96
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_erase_block.o
97
    }
98
    make -priority 1 {
99
        flash_program_buf.o: $(REPOSITORY)/$(PACKAGE)/src/flash_program_buf.c \
100
                             $(REPOSITORY)/$(PACKAGE)/src/strata.h
101
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_program_buf.c
102
        echo " .text" >>flash_program_buf.s
103
        echo " .globl flash_program_buf_end" >>flash_program_buf.s
104
        echo "flash_program_buf_end:" >>flash_program_buf.s
105
        $(CC) $(CFLAGS) -c -o flash_program_buf.o flash_program_buf.s
106
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_program_buf.o
107
    }
108
    make -priority 1 {
109
        flash_query.o: $(REPOSITORY)/$(PACKAGE)/src/flash_query.c \
110
                             $(REPOSITORY)/$(PACKAGE)/src/strata.h
111
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_query.c
112
        echo " .text" >>flash_query.s
113
        echo " .globl flash_query_end" >>flash_query.s
114
        echo "flash_query_end:" >>flash_query.s
115
        $(CC) $(CFLAGS) -c -o flash_query.o flash_query.s
116
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_query.o
117
    }
118
 
119
    cdl_component  CYGPKG_DEVS_FLASH_STRATA_LOCKING {
120
        display "Flash device implements locking"
121
        active_if 0 < CYGHWR_IO_FLASH_BLOCK_LOCKING
122
        calculated 1
123
 
124
        make -priority 1 {
125
        flash_lock_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_lock_block.c \
126
                             $(REPOSITORY)/$(PACKAGE)/src/strata.h
127
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_lock_block.c
128
        echo " .text" >>flash_lock_block.s
129
        echo " .globl flash_lock_block_end" >>flash_lock_block.s
130
        echo "flash_lock_block_end:" >>flash_lock_block.s
131
        $(CC) $(CFLAGS) -c -o flash_lock_block.o flash_lock_block.s
132
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_lock_block.o
133
        }
134
        make -priority 1 {
135
        flash_unlock_block.o: $(REPOSITORY)/$(PACKAGE)/src/flash_unlock_block.c \
136
                             $(REPOSITORY)/$(PACKAGE)/src/strata.h
137
        $(CC) -S $(INCLUDE_PATH) $(CFLAGS) -g0 -fno-function-sections $(REPOSITORY)/$(PACKAGE)/src/flash_unlock_block.c
138
        echo " .text" >>flash_unlock_block.s
139
        echo " .globl flash_unlock_block_end" >>flash_unlock_block.s
140
        echo "flash_unlock_block_end:" >>flash_unlock_block.s
141
        $(CC) $(CFLAGS) -c -o flash_unlock_block.o flash_unlock_block.s
142
        $(AR) rcs $(PREFIX)/lib/libtarget.a flash_unlock_block.o
143
        }
144
    }
145
}
146
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.