OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [ecos-2.0/] [packages/] [net/] [tcpip/] [v2_0/] [include/] [netinet/] [ip_sha1.h] - Blame information for rev 663

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 unneback
//==========================================================================
2
//
3
//      include/netinet/sha1.h
4
//
5
//      
6
//
7
//==========================================================================
8
//####BSDCOPYRIGHTBEGIN####
9
//
10
// -------------------------------------------
11
//
12
// Portions of this software may have been derived from OpenBSD or other sources,
13
// and are covered by the appropriate copyright disclaimers included herein.
14
//
15
// -------------------------------------------
16
//
17
//####BSDCOPYRIGHTEND####
18
//==========================================================================
19
//#####DESCRIPTIONBEGIN####
20
//
21
// Author(s):    gthomas
22
// Contributors: gthomas
23
// Date:         2000-01-10
24
// Purpose:      
25
// Description:  
26
//              
27
//
28
//####DESCRIPTIONEND####
29
//
30
//==========================================================================
31
 
32
 
33
/*      $OpenBSD: ip_sha1.h,v 1.5 1999/02/17 18:10:24 deraadt Exp $     */
34
 
35
/*
36
 * SHA-1 in C
37
 * By Steve Reid <steve@edmweb.com>
38
 * 100% Public Domain
39
 */
40
 
41
#ifndef _NETINET_SHA1_H_
42
#define _NETINET_SHA1_H_
43
 
44
typedef struct {
45
        u_int32_t       state[5];
46
        u_int32_t       count[2];
47
        unsigned char   buffer[64];
48
} SHA1_CTX;
49
 
50
void SHA1Transform __P((u_int32_t state[5], unsigned char buffer[64]));
51
void SHA1Init __P((SHA1_CTX* context));
52
void SHA1Update __P((SHA1_CTX* context, unsigned char* data, unsigned int len));
53
void SHA1Final __P((unsigned char digest[20], SHA1_CTX* context));
54
 
55
#endif /* _NETINET_SHA1_H_ */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.