OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [ecos-3.0/] [packages/] [devs/] [flash/] [arm/] [uE250/] [current/] [cdl/] [flash_uE250.cdl] - Blame information for rev 786

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 786 skrzyp
# ====================================================================
2
#
3
#      flash_uE250.cdl
4
#
5
#      FLASH memory - Hardware support on NMI uE250 (Xscale PXA250)
6
#
7
# ====================================================================
8
## ####ECOSGPLCOPYRIGHTBEGIN####
9
## -------------------------------------------
10
## This file is part of eCos, the Embedded Configurable Operating System.
11
## Copyright (C) 1998, 1999, 2000, 2001, 2002, 2003 Free Software Foundation, Inc.
12
##
13
## eCos is free software; you can redistribute it and/or modify it under
14
## the terms of the GNU General Public License as published by the Free
15
## Software Foundation; either version 2 or (at your option) any later
16
## version.
17
##
18
## eCos is distributed in the hope that it will be useful, but WITHOUT
19
## ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
20
## FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
21
## for more details.
22
##
23
## You should have received a copy of the GNU General Public License
24
## along with eCos; if not, write to the Free Software Foundation, Inc.,
25
## 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA.
26
##
27
## As a special exception, if other files instantiate templates or use
28
## macros or inline functions from this file, or you compile this file
29
## and link it with other works to produce a work based on this file,
30
## this file does not by itself cause the resulting work to be covered by
31
## the GNU General Public License. However the source code for this file
32
## must still be made available in accordance with section (3) of the GNU
33
## General Public License v2.
34
##
35
## This exception does not invalidate any other reasons why a work based
36
## on this file might be covered by the GNU General Public License.
37
## -------------------------------------------
38
## ####ECOSGPLCOPYRIGHTEND####
39
# ====================================================================
40
######DESCRIPTIONBEGIN####
41
#
42
# Author(s):      msalter
43
# Original data:  gthomas
44
# Contributors:
45
# Date:           2001-12-07
46
#
47
#####DESCRIPTIONEND####
48
#
49
# ====================================================================
50
 
51
cdl_package CYGPKG_DEVS_FLASH_UE250 {
52
    display       "Intel UE250 FLASH memory support"
53
 
54
    parent        CYGPKG_IO_FLASH
55
    active_if     CYGPKG_IO_FLASH
56
    requires      CYGPKG_HAL_ARM_XSCALE_UE250
57
 
58
    requires      CYGPKG_DEVS_FLASH_STRATA
59
 
60
    implements    CYGHWR_IO_FLASH_BLOCK_LOCKING
61
 
62
    include_dir   cyg/io
63
 
64
    # Arguably this should do in the generic package
65
    # but then there is a logic loop so you can never enable it.
66
    cdl_interface CYGINT_DEVS_FLASH_STRATA_REQUIRED {
67
        display   "Generic StrataFLASH driver required"
68
    }
69
 
70
    implements    CYGINT_DEVS_FLASH_STRATA_REQUIRED
71
 
72
    define_proc {
73
        puts $::cdl_system_header "/***** strataflash driver proc output start *****/"
74
        puts $::cdl_system_header "#define CYGDAT_DEVS_FLASH_STRATA_INL "
75
        puts $::cdl_system_header "#define CYGDAT_DEVS_FLASH_STRATA_CFG "
76
        puts $::cdl_system_header "/*****  strataflash driver proc output end  *****/"
77
    }
78
}
79
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.