OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [freertos-6.1.1/] [Demo/] [HCS12_CodeWarrior_banked/] [bin/] [P&E_ICD.map] - Blame information for rev 615

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 588 jeremybenn
 
2
PROGRAM "E:\Dev\FreeRTOS\Demo\HCS12_CodeWarrior_banked\bin\P&E_ICD.abs"
3
 
4
*********************************************************************************************
5
TARGET SECTION
6
---------------------------------------------------------------------------------------------
7
Processor   : Motorola HC12
8
Memory Model: BANKED
9
File Format : ELF\Dwarf 2.0
10
Linker      : SmartLinker V-5.0.22 Build 4047, Feb 17 2004
11
 
12
*********************************************************************************************
13
FILE SECTION
14
---------------------------------------------------------------------------------------------
15
Start12.c.o                             Model: BANKED,        Lang: ANSI-C
16
STRING.C.o (ansibi.lib)                 Model: BANKED,        Lang: ANSI-C
17
rtshc12.c.o (ansibi.lib)                Model: BANKED,        Lang: ANSI-C
18
Cpu.C.o                                 Model: BANKED,        Lang: ANSI-C
19
IO_Map.C.o                              Model: BANKED,        Lang: ANSI-C
20
Vectors.c.o                             Model: BANKED,        Lang: ANSI-C
21
RTOSDemo.C.o                            Model: BANKED,        Lang: ANSI-C
22
main.c.o                                Model: BANKED,        Lang: ANSI-C
23
ParTest.c.o                             Model: BANKED,        Lang: ANSI-C
24
serial.c.o                              Model: BANKED,        Lang: ANSI-C
25
tasks.c.o                               Model: BANKED,        Lang: ANSI-C
26
queue.c.o                               Model: BANKED,        Lang: ANSI-C
27
list.c.o                                Model: BANKED,        Lang: ANSI-C
28
heap_2.c.o                              Model: BANKED,        Lang: ANSI-C
29
flash.c.o                               Model: BANKED,        Lang: ANSI-C
30
dynamic.c.o                             Model: BANKED,        Lang: ANSI-C
31
PollQ.c.o                               Model: BANKED,        Lang: ANSI-C
32
TickTimer.C.o                           Model: BANKED,        Lang: ANSI-C
33
Byte1.C.o                               Model: BANKED,        Lang: ANSI-C
34
PE_Timer.C.o                            Model: BANKED,        Lang: ANSI-C
35
comtest.c.o                             Model: BANKED,        Lang: ANSI-C
36
COM0.C.o                                Model: BANKED,        Lang: ANSI-C
37
port.c.o                                Model: BANKED,        Lang: ANSI-C
38
integer.c.o                             Model: BANKED,        Lang: ANSI-C
39
BlockQ.c.o                              Model: BANKED,        Lang: ANSI-C
40
death.c.o                               Model: BANKED,        Lang: ANSI-C
41
 
42
*********************************************************************************************
43
STARTUP SECTION
44
---------------------------------------------------------------------------------------------
45
Entry point: 0xC000 (_EntryPoint)
46
_startupData is allocated at 0xC07C and uses 24 Bytes
47
extern struct _tagStartup {
48
  unsigned flags          0
49
  _PFunc   main           0x30809A    (main)
50
  long     stackOffset    0x3988
51
  unsigned nofZeroOut     1
52
  _Range   pZeroOut       0x1000     10505
53
  _Copy    *toCopyDownBeg 0xC3B5
54
  int      nofLibInits    0
55
  _LibInit *libInits      0xC098
56
  int      nofInitBodies  0
57
  _Cpp     *initBodies    0xC09A
58
  int      nofFiniBodies  0
59
  _Cpp     *finiBodies    0xC09A
60
} _startupData;
61
 
62
*********************************************************************************************
63
SECTION-ALLOCATION SECTION
64
Section Name                    Size  Type     From       To       Segment
65
---------------------------------------------------------------------------------------------
66
.data                              1   R/W     0x1000     0x1000   RAM
67
.text                            587     R   0x308000   0x30824A   ROM_PAGE30
68
.init                            124     R     0xC000     0xC07B   ROM_C000
69
.startData                        30     R     0xC07C     0xC099   ROM_C000
70
.rodata1                         183     R     0xC09A     0xC150   ROM_C000
71
NON_BANKED                       612     R     0xC151     0xC3B4   ROM_C000
72
.copy                             27     R     0xC3B5     0xC3CF   ROM_C000
73
.abs_section_3f                    1   N/I       0x3F       0x3F   .absSeg0
74
.abs_section_8d                    1   N/I       0x8D       0x8D   .absSeg1
75
.abs_section_86                    1   N/I       0x86       0x86   .absSeg2
76
.abs_section_8b                    1   N/I       0x8B       0x8B   .absSeg3
77
.abs_section_12d                   1   N/I      0x12D      0x12D   .absSeg4
78
.abs_section_126                   1   N/I      0x126      0x126   .absSeg5
79
.abs_section_12b                   1   N/I      0x12B      0x12B   .absSeg6
80
.abs_section_ff06                  1   N/I     0xFF06     0xFF06   .absSeg7
81
.abs_section_ff07                  1   N/I     0xFF07     0xFF07   .absSeg8
82
.abs_section_ff01                  1   N/I     0xFF01     0xFF01   .absSeg9
83
.abs_section_2b                    1   N/I       0x2B       0x2B   .absSeg10
84
.abs_section_2c                    1   N/I       0x2C       0x2C   .absSeg11
85
.abs_section_2a                    1   N/I       0x2A       0x2A   .absSeg12
86
.abs_section_2e                    1   N/I       0x2E       0x2E   .absSeg13
87
.abs_section_2f                    1   N/I       0x2F       0x2F   .absSeg14
88
.abs_section_2d                    1   N/I       0x2D       0x2D   .absSeg15
89
.abs_section_28                    1   N/I       0x28       0x28   .absSeg16
90
.abs_section_29                    1   N/I       0x29       0x29   .absSeg17
91
.abs_section_142                   1   N/I      0x142      0x142   .absSeg18
92
.abs_section_143                   1   N/I      0x143      0x143   .absSeg19
93
.abs_section_140                   1   N/I      0x140      0x140   .absSeg20
94
.abs_section_141                   1   N/I      0x141      0x141   .absSeg21
95
.abs_section_14b                   1   N/I      0x14B      0x14B   .absSeg22
96
.abs_section_150                   1   N/I      0x150      0x150   .absSeg23
97
.abs_section_151                   1   N/I      0x151      0x151   .absSeg24
98
.abs_section_152                   1   N/I      0x152      0x152   .absSeg25
99
.abs_section_153                   1   N/I      0x153      0x153   .absSeg26
100
.abs_section_158                   1   N/I      0x158      0x158   .absSeg27
101
.abs_section_159                   1   N/I      0x159      0x159   .absSeg28
102
.abs_section_15a                   1   N/I      0x15A      0x15A   .absSeg29
103
.abs_section_15b                   1   N/I      0x15B      0x15B   .absSeg30
104
.abs_section_154                   1   N/I      0x154      0x154   .absSeg31
105
.abs_section_155                   1   N/I      0x155      0x155   .absSeg32
106
.abs_section_156                   1   N/I      0x156      0x156   .absSeg33
107
.abs_section_157                   1   N/I      0x157      0x157   .absSeg34
108
.abs_section_15c                   1   N/I      0x15C      0x15C   .absSeg35
109
.abs_section_15d                   1   N/I      0x15D      0x15D   .absSeg36
110
.abs_section_15e                   1   N/I      0x15E      0x15E   .absSeg37
111
.abs_section_15f                   1   N/I      0x15F      0x15F   .absSeg38
112
.abs_section_144                   1   N/I      0x144      0x144   .absSeg39
113
.abs_section_145                   1   N/I      0x145      0x145   .absSeg40
114
.abs_section_16c                   1   N/I      0x16C      0x16C   .absSeg41
115
.abs_section_164                   1   N/I      0x164      0x164   .absSeg42
116
.abs_section_165                   1   N/I      0x165      0x165   .absSeg43
117
.abs_section_166                   1   N/I      0x166      0x166   .absSeg44
118
.abs_section_167                   1   N/I      0x167      0x167   .absSeg45
119
.abs_section_168                   1   N/I      0x168      0x168   .absSeg46
120
.abs_section_169                   1   N/I      0x169      0x169   .absSeg47
121
.abs_section_16a                   1   N/I      0x16A      0x16A   .absSeg48
122
.abs_section_16b                   1   N/I      0x16B      0x16B   .absSeg49
123
.abs_section_14e                   1   N/I      0x14E      0x14E   .absSeg50
124
.abs_section_160                   1   N/I      0x160      0x160   .absSeg51
125
.abs_section_161                   1   N/I      0x161      0x161   .absSeg52
126
.abs_section_162                   1   N/I      0x162      0x162   .absSeg53
127
.abs_section_163                   1   N/I      0x163      0x163   .absSeg54
128
.abs_section_149                   1   N/I      0x149      0x149   .absSeg55
129
.abs_section_148                   1   N/I      0x148      0x148   .absSeg56
130
.abs_section_14a                   1   N/I      0x14A      0x14A   .absSeg57
131
.abs_section_146                   1   N/I      0x146      0x146   .absSeg58
132
.abs_section_147                   1   N/I      0x147      0x147   .absSeg59
133
.abs_section_17c                   1   N/I      0x17C      0x17C   .absSeg60
134
.abs_section_174                   1   N/I      0x174      0x174   .absSeg61
135
.abs_section_175                   1   N/I      0x175      0x175   .absSeg62
136
.abs_section_176                   1   N/I      0x176      0x176   .absSeg63
137
.abs_section_177                   1   N/I      0x177      0x177   .absSeg64
138
.abs_section_178                   1   N/I      0x178      0x178   .absSeg65
139
.abs_section_179                   1   N/I      0x179      0x179   .absSeg66
140
.abs_section_17a                   1   N/I      0x17A      0x17A   .absSeg67
141
.abs_section_17b                   1   N/I      0x17B      0x17B   .absSeg68
142
.abs_section_14f                   1   N/I      0x14F      0x14F   .absSeg69
143
.abs_section_170                   1   N/I      0x170      0x170   .absSeg70
144
.abs_section_171                   1   N/I      0x171      0x171   .absSeg71
145
.abs_section_172                   1   N/I      0x172      0x172   .absSeg72
146
.abs_section_173                   1   N/I      0x173      0x173   .absSeg73
147
.abs_section_17f                   1   N/I      0x17F      0x17F   .absSeg74
148
.abs_section_182                   1   N/I      0x182      0x182   .absSeg75
149
.abs_section_183                   1   N/I      0x183      0x183   .absSeg76
150
.abs_section_180                   1   N/I      0x180      0x180   .absSeg77
151
.abs_section_181                   1   N/I      0x181      0x181   .absSeg78
152
.abs_section_18b                   1   N/I      0x18B      0x18B   .absSeg79
153
.abs_section_190                   1   N/I      0x190      0x190   .absSeg80
154
.abs_section_191                   1   N/I      0x191      0x191   .absSeg81
155
.abs_section_192                   1   N/I      0x192      0x192   .absSeg82
156
.abs_section_193                   1   N/I      0x193      0x193   .absSeg83
157
.abs_section_198                   1   N/I      0x198      0x198   .absSeg84
158
.abs_section_199                   1   N/I      0x199      0x199   .absSeg85
159
.abs_section_19a                   1   N/I      0x19A      0x19A   .absSeg86
160
.abs_section_19b                   1   N/I      0x19B      0x19B   .absSeg87
161
.abs_section_194                   1   N/I      0x194      0x194   .absSeg88
162
.abs_section_195                   1   N/I      0x195      0x195   .absSeg89
163
.abs_section_196                   1   N/I      0x196      0x196   .absSeg90
164
.abs_section_197                   1   N/I      0x197      0x197   .absSeg91
165
.abs_section_19c                   1   N/I      0x19C      0x19C   .absSeg92
166
.abs_section_19d                   1   N/I      0x19D      0x19D   .absSeg93
167
.abs_section_19e                   1   N/I      0x19E      0x19E   .absSeg94
168
.abs_section_19f                   1   N/I      0x19F      0x19F   .absSeg95
169
.abs_section_184                   1   N/I      0x184      0x184   .absSeg96
170
.abs_section_185                   1   N/I      0x185      0x185   .absSeg97
171
.abs_section_1ac                   1   N/I      0x1AC      0x1AC   .absSeg98
172
.abs_section_1a4                   1   N/I      0x1A4      0x1A4   .absSeg99
173
.abs_section_1a5                   1   N/I      0x1A5      0x1A5   .absSeg100
174
.abs_section_1a6                   1   N/I      0x1A6      0x1A6   .absSeg101
175
.abs_section_1a7                   1   N/I      0x1A7      0x1A7   .absSeg102
176
.abs_section_1a8                   1   N/I      0x1A8      0x1A8   .absSeg103
177
.abs_section_1a9                   1   N/I      0x1A9      0x1A9   .absSeg104
178
.abs_section_1aa                   1   N/I      0x1AA      0x1AA   .absSeg105
179
.abs_section_1ab                   1   N/I      0x1AB      0x1AB   .absSeg106
180
.abs_section_18e                   1   N/I      0x18E      0x18E   .absSeg107
181
.abs_section_1a0                   1   N/I      0x1A0      0x1A0   .absSeg108
182
.abs_section_1a1                   1   N/I      0x1A1      0x1A1   .absSeg109
183
.abs_section_1a2                   1   N/I      0x1A2      0x1A2   .absSeg110
184
.abs_section_1a3                   1   N/I      0x1A3      0x1A3   .absSeg111
185
.abs_section_189                   1   N/I      0x189      0x189   .absSeg112
186
.abs_section_188                   1   N/I      0x188      0x188   .absSeg113
187
.abs_section_18a                   1   N/I      0x18A      0x18A   .absSeg114
188
.abs_section_186                   1   N/I      0x186      0x186   .absSeg115
189
.abs_section_187                   1   N/I      0x187      0x187   .absSeg116
190
.abs_section_1bc                   1   N/I      0x1BC      0x1BC   .absSeg117
191
.abs_section_1b4                   1   N/I      0x1B4      0x1B4   .absSeg118
192
.abs_section_1b5                   1   N/I      0x1B5      0x1B5   .absSeg119
193
.abs_section_1b6                   1   N/I      0x1B6      0x1B6   .absSeg120
194
.abs_section_1b7                   1   N/I      0x1B7      0x1B7   .absSeg121
195
.abs_section_1b8                   1   N/I      0x1B8      0x1B8   .absSeg122
196
.abs_section_1b9                   1   N/I      0x1B9      0x1B9   .absSeg123
197
.abs_section_1ba                   1   N/I      0x1BA      0x1BA   .absSeg124
198
.abs_section_1bb                   1   N/I      0x1BB      0x1BB   .absSeg125
199
.abs_section_18f                   1   N/I      0x18F      0x18F   .absSeg126
200
.abs_section_1b0                   1   N/I      0x1B0      0x1B0   .absSeg127
201
.abs_section_1b1                   1   N/I      0x1B1      0x1B1   .absSeg128
202
.abs_section_1b2                   1   N/I      0x1B2      0x1B2   .absSeg129
203
.abs_section_1b3                   1   N/I      0x1B3      0x1B3   .absSeg130
204
.abs_section_1bf                   1   N/I      0x1BF      0x1BF   .absSeg131
205
.abs_section_1c2                   1   N/I      0x1C2      0x1C2   .absSeg132
206
.abs_section_1c3                   1   N/I      0x1C3      0x1C3   .absSeg133
207
.abs_section_1c0                   1   N/I      0x1C0      0x1C0   .absSeg134
208
.abs_section_1c1                   1   N/I      0x1C1      0x1C1   .absSeg135
209
.abs_section_1cb                   1   N/I      0x1CB      0x1CB   .absSeg136
210
.abs_section_1d0                   1   N/I      0x1D0      0x1D0   .absSeg137
211
.abs_section_1d1                   1   N/I      0x1D1      0x1D1   .absSeg138
212
.abs_section_1d2                   1   N/I      0x1D2      0x1D2   .absSeg139
213
.abs_section_1d3                   1   N/I      0x1D3      0x1D3   .absSeg140
214
.abs_section_1d8                   1   N/I      0x1D8      0x1D8   .absSeg141
215
.abs_section_1d9                   1   N/I      0x1D9      0x1D9   .absSeg142
216
.abs_section_1da                   1   N/I      0x1DA      0x1DA   .absSeg143
217
.abs_section_1db                   1   N/I      0x1DB      0x1DB   .absSeg144
218
.abs_section_1d4                   1   N/I      0x1D4      0x1D4   .absSeg145
219
.abs_section_1d5                   1   N/I      0x1D5      0x1D5   .absSeg146
220
.abs_section_1d6                   1   N/I      0x1D6      0x1D6   .absSeg147
221
.abs_section_1d7                   1   N/I      0x1D7      0x1D7   .absSeg148
222
.abs_section_1dc                   1   N/I      0x1DC      0x1DC   .absSeg149
223
.abs_section_1dd                   1   N/I      0x1DD      0x1DD   .absSeg150
224
.abs_section_1de                   1   N/I      0x1DE      0x1DE   .absSeg151
225
.abs_section_1df                   1   N/I      0x1DF      0x1DF   .absSeg152
226
.abs_section_1c4                   1   N/I      0x1C4      0x1C4   .absSeg153
227
.abs_section_1c5                   1   N/I      0x1C5      0x1C5   .absSeg154
228
.abs_section_1ec                   1   N/I      0x1EC      0x1EC   .absSeg155
229
.abs_section_1e4                   1   N/I      0x1E4      0x1E4   .absSeg156
230
.abs_section_1e5                   1   N/I      0x1E5      0x1E5   .absSeg157
231
.abs_section_1e6                   1   N/I      0x1E6      0x1E6   .absSeg158
232
.abs_section_1e7                   1   N/I      0x1E7      0x1E7   .absSeg159
233
.abs_section_1e8                   1   N/I      0x1E8      0x1E8   .absSeg160
234
.abs_section_1e9                   1   N/I      0x1E9      0x1E9   .absSeg161
235
.abs_section_1ea                   1   N/I      0x1EA      0x1EA   .absSeg162
236
.abs_section_1eb                   1   N/I      0x1EB      0x1EB   .absSeg163
237
.abs_section_1ce                   1   N/I      0x1CE      0x1CE   .absSeg164
238
.abs_section_1e0                   1   N/I      0x1E0      0x1E0   .absSeg165
239
.abs_section_1e1                   1   N/I      0x1E1      0x1E1   .absSeg166
240
.abs_section_1e2                   1   N/I      0x1E2      0x1E2   .absSeg167
241
.abs_section_1e3                   1   N/I      0x1E3      0x1E3   .absSeg168
242
.abs_section_1c9                   1   N/I      0x1C9      0x1C9   .absSeg169
243
.abs_section_1c8                   1   N/I      0x1C8      0x1C8   .absSeg170
244
.abs_section_1ca                   1   N/I      0x1CA      0x1CA   .absSeg171
245
.abs_section_1c6                   1   N/I      0x1C6      0x1C6   .absSeg172
246
.abs_section_1c7                   1   N/I      0x1C7      0x1C7   .absSeg173
247
.abs_section_1fc                   1   N/I      0x1FC      0x1FC   .absSeg174
248
.abs_section_1f4                   1   N/I      0x1F4      0x1F4   .absSeg175
249
.abs_section_1f5                   1   N/I      0x1F5      0x1F5   .absSeg176
250
.abs_section_1f6                   1   N/I      0x1F6      0x1F6   .absSeg177
251
.abs_section_1f7                   1   N/I      0x1F7      0x1F7   .absSeg178
252
.abs_section_1f8                   1   N/I      0x1F8      0x1F8   .absSeg179
253
.abs_section_1f9                   1   N/I      0x1F9      0x1F9   .absSeg180
254
.abs_section_1fa                   1   N/I      0x1FA      0x1FA   .absSeg181
255
.abs_section_1fb                   1   N/I      0x1FB      0x1FB   .absSeg182
256
.abs_section_1cf                   1   N/I      0x1CF      0x1CF   .absSeg183
257
.abs_section_1f0                   1   N/I      0x1F0      0x1F0   .absSeg184
258
.abs_section_1f1                   1   N/I      0x1F1      0x1F1   .absSeg185
259
.abs_section_1f2                   1   N/I      0x1F2      0x1F2   .absSeg186
260
.abs_section_1f3                   1   N/I      0x1F3      0x1F3   .absSeg187
261
.abs_section_1ff                   1   N/I      0x1FF      0x1FF   .absSeg188
262
.abs_section_202                   1   N/I      0x202      0x202   .absSeg189
263
.abs_section_203                   1   N/I      0x203      0x203   .absSeg190
264
.abs_section_200                   1   N/I      0x200      0x200   .absSeg191
265
.abs_section_201                   1   N/I      0x201      0x201   .absSeg192
266
.abs_section_20b                   1   N/I      0x20B      0x20B   .absSeg193
267
.abs_section_210                   1   N/I      0x210      0x210   .absSeg194
268
.abs_section_211                   1   N/I      0x211      0x211   .absSeg195
269
.abs_section_212                   1   N/I      0x212      0x212   .absSeg196
270
.abs_section_213                   1   N/I      0x213      0x213   .absSeg197
271
.abs_section_218                   1   N/I      0x218      0x218   .absSeg198
272
.abs_section_219                   1   N/I      0x219      0x219   .absSeg199
273
.abs_section_21a                   1   N/I      0x21A      0x21A   .absSeg200
274
.abs_section_21b                   1   N/I      0x21B      0x21B   .absSeg201
275
.abs_section_214                   1   N/I      0x214      0x214   .absSeg202
276
.abs_section_215                   1   N/I      0x215      0x215   .absSeg203
277
.abs_section_216                   1   N/I      0x216      0x216   .absSeg204
278
.abs_section_217                   1   N/I      0x217      0x217   .absSeg205
279
.abs_section_21c                   1   N/I      0x21C      0x21C   .absSeg206
280
.abs_section_21d                   1   N/I      0x21D      0x21D   .absSeg207
281
.abs_section_21e                   1   N/I      0x21E      0x21E   .absSeg208
282
.abs_section_21f                   1   N/I      0x21F      0x21F   .absSeg209
283
.abs_section_204                   1   N/I      0x204      0x204   .absSeg210
284
.abs_section_205                   1   N/I      0x205      0x205   .absSeg211
285
.abs_section_22c                   1   N/I      0x22C      0x22C   .absSeg212
286
.abs_section_224                   1   N/I      0x224      0x224   .absSeg213
287
.abs_section_225                   1   N/I      0x225      0x225   .absSeg214
288
.abs_section_226                   1   N/I      0x226      0x226   .absSeg215
289
.abs_section_227                   1   N/I      0x227      0x227   .absSeg216
290
.abs_section_228                   1   N/I      0x228      0x228   .absSeg217
291
.abs_section_229                   1   N/I      0x229      0x229   .absSeg218
292
.abs_section_22a                   1   N/I      0x22A      0x22A   .absSeg219
293
.abs_section_22b                   1   N/I      0x22B      0x22B   .absSeg220
294
.abs_section_20e                   1   N/I      0x20E      0x20E   .absSeg221
295
.abs_section_220                   1   N/I      0x220      0x220   .absSeg222
296
.abs_section_221                   1   N/I      0x221      0x221   .absSeg223
297
.abs_section_222                   1   N/I      0x222      0x222   .absSeg224
298
.abs_section_223                   1   N/I      0x223      0x223   .absSeg225
299
.abs_section_209                   1   N/I      0x209      0x209   .absSeg226
300
.abs_section_208                   1   N/I      0x208      0x208   .absSeg227
301
.abs_section_20a                   1   N/I      0x20A      0x20A   .absSeg228
302
.abs_section_206                   1   N/I      0x206      0x206   .absSeg229
303
.abs_section_207                   1   N/I      0x207      0x207   .absSeg230
304
.abs_section_23c                   1   N/I      0x23C      0x23C   .absSeg231
305
.abs_section_234                   1   N/I      0x234      0x234   .absSeg232
306
.abs_section_235                   1   N/I      0x235      0x235   .absSeg233
307
.abs_section_236                   1   N/I      0x236      0x236   .absSeg234
308
.abs_section_237                   1   N/I      0x237      0x237   .absSeg235
309
.abs_section_238                   1   N/I      0x238      0x238   .absSeg236
310
.abs_section_239                   1   N/I      0x239      0x239   .absSeg237
311
.abs_section_23a                   1   N/I      0x23A      0x23A   .absSeg238
312
.abs_section_23b                   1   N/I      0x23B      0x23B   .absSeg239
313
.abs_section_20f                   1   N/I      0x20F      0x20F   .absSeg240
314
.abs_section_230                   1   N/I      0x230      0x230   .absSeg241
315
.abs_section_231                   1   N/I      0x231      0x231   .absSeg242
316
.abs_section_232                   1   N/I      0x232      0x232   .absSeg243
317
.abs_section_233                   1   N/I      0x233      0x233   .absSeg244
318
.abs_section_23f                   1   N/I      0x23F      0x23F   .absSeg245
319
.abs_section_282                   1   N/I      0x282      0x282   .absSeg246
320
.abs_section_283                   1   N/I      0x283      0x283   .absSeg247
321
.abs_section_280                   1   N/I      0x280      0x280   .absSeg248
322
.abs_section_281                   1   N/I      0x281      0x281   .absSeg249
323
.abs_section_28b                   1   N/I      0x28B      0x28B   .absSeg250
324
.abs_section_290                   1   N/I      0x290      0x290   .absSeg251
325
.abs_section_291                   1   N/I      0x291      0x291   .absSeg252
326
.abs_section_292                   1   N/I      0x292      0x292   .absSeg253
327
.abs_section_293                   1   N/I      0x293      0x293   .absSeg254
328
.abs_section_298                   1   N/I      0x298      0x298   .absSeg255
329
.abs_section_299                   1   N/I      0x299      0x299   .absSeg256
330
.abs_section_29a                   1   N/I      0x29A      0x29A   .absSeg257
331
.abs_section_29b                   1   N/I      0x29B      0x29B   .absSeg258
332
.abs_section_294                   1   N/I      0x294      0x294   .absSeg259
333
.abs_section_295                   1   N/I      0x295      0x295   .absSeg260
334
.abs_section_296                   1   N/I      0x296      0x296   .absSeg261
335
.abs_section_297                   1   N/I      0x297      0x297   .absSeg262
336
.abs_section_29c                   1   N/I      0x29C      0x29C   .absSeg263
337
.abs_section_29d                   1   N/I      0x29D      0x29D   .absSeg264
338
.abs_section_29e                   1   N/I      0x29E      0x29E   .absSeg265
339
.abs_section_29f                   1   N/I      0x29F      0x29F   .absSeg266
340
.abs_section_284                   1   N/I      0x284      0x284   .absSeg267
341
.abs_section_285                   1   N/I      0x285      0x285   .absSeg268
342
.abs_section_2ac                   1   N/I      0x2AC      0x2AC   .absSeg269
343
.abs_section_2a4                   1   N/I      0x2A4      0x2A4   .absSeg270
344
.abs_section_2a5                   1   N/I      0x2A5      0x2A5   .absSeg271
345
.abs_section_2a6                   1   N/I      0x2A6      0x2A6   .absSeg272
346
.abs_section_2a7                   1   N/I      0x2A7      0x2A7   .absSeg273
347
.abs_section_2a8                   1   N/I      0x2A8      0x2A8   .absSeg274
348
.abs_section_2a9                   1   N/I      0x2A9      0x2A9   .absSeg275
349
.abs_section_2aa                   1   N/I      0x2AA      0x2AA   .absSeg276
350
.abs_section_2ab                   1   N/I      0x2AB      0x2AB   .absSeg277
351
.abs_section_28e                   1   N/I      0x28E      0x28E   .absSeg278
352
.abs_section_2a0                   1   N/I      0x2A0      0x2A0   .absSeg279
353
.abs_section_2a1                   1   N/I      0x2A1      0x2A1   .absSeg280
354
.abs_section_2a2                   1   N/I      0x2A2      0x2A2   .absSeg281
355
.abs_section_2a3                   1   N/I      0x2A3      0x2A3   .absSeg282
356
.abs_section_289                   1   N/I      0x289      0x289   .absSeg283
357
.abs_section_288                   1   N/I      0x288      0x288   .absSeg284
358
.abs_section_28a                   1   N/I      0x28A      0x28A   .absSeg285
359
.abs_section_286                   1   N/I      0x286      0x286   .absSeg286
360
.abs_section_287                   1   N/I      0x287      0x287   .absSeg287
361
.abs_section_2bc                   1   N/I      0x2BC      0x2BC   .absSeg288
362
.abs_section_2b4                   1   N/I      0x2B4      0x2B4   .absSeg289
363
.abs_section_2b5                   1   N/I      0x2B5      0x2B5   .absSeg290
364
.abs_section_2b6                   1   N/I      0x2B6      0x2B6   .absSeg291
365
.abs_section_2b7                   1   N/I      0x2B7      0x2B7   .absSeg292
366
.abs_section_2b8                   1   N/I      0x2B8      0x2B8   .absSeg293
367
.abs_section_2b9                   1   N/I      0x2B9      0x2B9   .absSeg294
368
.abs_section_2ba                   1   N/I      0x2BA      0x2BA   .absSeg295
369
.abs_section_2bb                   1   N/I      0x2BB      0x2BB   .absSeg296
370
.abs_section_28f                   1   N/I      0x28F      0x28F   .absSeg297
371
.abs_section_2b0                   1   N/I      0x2B0      0x2B0   .absSeg298
372
.abs_section_2b1                   1   N/I      0x2B1      0x2B1   .absSeg299
373
.abs_section_2b2                   1   N/I      0x2B2      0x2B2   .absSeg300
374
.abs_section_2b3                   1   N/I      0x2B3      0x2B3   .absSeg301
375
.abs_section_2bf                   1   N/I      0x2BF      0x2BF   .absSeg302
376
.abs_section_41                    1   N/I       0x41       0x41   .absSeg303
377
.abs_section_39                    1   N/I       0x39       0x39   .absSeg304
378
.abs_section_3c                    1   N/I       0x3C       0x3C   .absSeg305
379
.abs_section_37                    1   N/I       0x37       0x37   .absSeg306
380
.abs_section_38                    1   N/I       0x38       0x38   .absSeg307
381
.abs_section_3e                    1   N/I       0x3E       0x3E   .absSeg308
382
.abs_section_36                    1   N/I       0x36       0x36   .absSeg309
383
.abs_section_9                     1   N/I        0x9        0x9   .absSeg310
384
.abs_section_262                   1   N/I      0x262      0x262   .absSeg311
385
.abs_section_26a                   1   N/I      0x26A      0x26A   .absSeg312
386
.abs_section_33                    1   N/I       0x33       0x33   .absSeg313
387
.abs_section_252                   1   N/I      0x252      0x252   .absSeg314
388
.abs_section_25a                   1   N/I      0x25A      0x25A   .absSeg315
389
.abs_section_24a                   1   N/I      0x24A      0x24A   .absSeg316
390
.abs_section_242                   1   N/I      0x242      0x242   .absSeg317
391
.abs_section_ec                    1   N/I       0xEC       0xEC   .absSeg318
392
.abs_section_e8                    1   N/I       0xE8       0xE8   .absSeg319
393
.abs_section_ea                    1   N/I       0xEA       0xEA   .absSeg320
394
.abs_section_eb                    1   N/I       0xEB       0xEB   .absSeg321
395
.abs_section_ed                    1   N/I       0xED       0xED   .absSeg322
396
.abs_section_e9                    1   N/I       0xE9       0xE9   .absSeg323
397
.abs_section_ee                    1   N/I       0xEE       0xEE   .absSeg324
398
.abs_section_69                    1   N/I       0x69       0x69   .absSeg325
399
.abs_section_e                     1   N/I        0xE        0xE   .absSeg326
400
.abs_section_110                   1   N/I      0x110      0x110   .absSeg327
401
.abs_section_116                   1   N/I      0x116      0x116   .absSeg328
402
.abs_section_113                   1   N/I      0x113      0x113   .absSeg329
403
.abs_section_114                   1   N/I      0x114      0x114   .absSeg330
404
.abs_section_115                   1   N/I      0x115      0x115   .absSeg331
405
.abs_section_100                   1   N/I      0x100      0x100   .absSeg332
406
.abs_section_106                   1   N/I      0x106      0x106   .absSeg333
407
.abs_section_103                   1   N/I      0x103      0x103   .absSeg334
408
.abs_section_3d                    1   N/I       0x3D       0x3D   .absSeg335
409
.abs_section_104                   1   N/I      0x104      0x104   .absSeg336
410
.abs_section_101                   1   N/I      0x101      0x101   .absSeg337
411
.abs_section_105                   1   N/I      0x105      0x105   .absSeg338
412
.abs_section_1f                    1   N/I       0x1F       0x1F   .absSeg339
413
.abs_section_e0                    1   N/I       0xE0       0xE0   .absSeg340
414
.abs_section_e2                    1   N/I       0xE2       0xE2   .absSeg341
415
.abs_section_e4                    1   N/I       0xE4       0xE4   .absSeg342
416
.abs_section_e1                    1   N/I       0xE1       0xE1   .absSeg343
417
.abs_section_e3                    1   N/I       0xE3       0xE3   .absSeg344
418
.abs_section_6a                    1   N/I       0x6A       0x6A   .absSeg345
419
.abs_section_68                    1   N/I       0x68       0x68   .absSeg346
420
.abs_section_6b                    1   N/I       0x6B       0x6B   .absSeg347
421
.abs_section_12                    1   N/I       0x12       0x12   .absSeg348
422
.abs_section_11                    1   N/I       0x11       0x11   .absSeg349
423
.abs_section_10                    1   N/I       0x10       0x10   .absSeg350
424
.abs_section_1e                    1   N/I       0x1E       0x1E   .absSeg351
425
.abs_section_15                    1   N/I       0x15       0x15   .absSeg352
426
.abs_section_16                    1   N/I       0x16       0x16   .absSeg353
427
.abs_section_66                    1   N/I       0x66       0x66   .absSeg354
428
.abs_section_67                    1   N/I       0x67       0x67   .absSeg355
429
.abs_section_1c                    1   N/I       0x1C       0x1C   .absSeg356
430
.abs_section_1d                    1   N/I       0x1D       0x1D   .absSeg357
431
.abs_section_13                    1   N/I       0x13       0x13   .absSeg358
432
.abs_section_b                     1   N/I        0xB        0xB   .absSeg359
433
.abs_section_257                   1   N/I      0x257      0x257   .absSeg360
434
.abs_section_14                    1   N/I       0x14       0x14   .absSeg361
435
.abs_section_17                    1   N/I       0x17       0x17   .absSeg362
436
.abs_section_43                    1   N/I       0x43       0x43   .absSeg363
437
.abs_section_42                    1   N/I       0x42       0x42   .absSeg364
438
.abs_section_60                    1   N/I       0x60       0x60   .absSeg365
439
.abs_section_61                    1   N/I       0x61       0x61   .absSeg366
440
.abs_section_1a                    1   N/I       0x1A       0x1A   .absSeg367
441
.abs_section_1b                    1   N/I       0x1B       0x1B   .absSeg368
442
.abs_section_70                    1   N/I       0x70       0x70   .absSeg369
443
.abs_section_71                    1   N/I       0x71       0x71   .absSeg370
444
.abs_section_a                     1   N/I        0xA        0xA   .absSeg371
445
.abs_section_264                   1   N/I      0x264      0x264   .absSeg372
446
.abs_section_26c                   1   N/I      0x26C      0x26C   .absSeg373
447
.abs_section_254                   1   N/I      0x254      0x254   .absSeg374
448
.abs_section_25c                   1   N/I      0x25C      0x25C   .absSeg375
449
.abs_section_24c                   1   N/I      0x24C      0x24C   .absSeg376
450
.abs_section_244                   1   N/I      0x244      0x244   .absSeg377
451
.abs_section_266                   1   N/I      0x266      0x266   .absSeg378
452
.abs_section_26e                   1   N/I      0x26E      0x26E   .absSeg379
453
.abs_section_25e                   1   N/I      0x25E      0x25E   .absSeg380
454
.abs_section_267                   1   N/I      0x267      0x267   .absSeg381
455
.abs_section_26f                   1   N/I      0x26F      0x26F   .absSeg382
456
.abs_section_25f                   1   N/I      0x25F      0x25F   .absSeg383
457
.abs_section_3a                    1   N/I       0x3A       0x3A   .absSeg384
458
.abs_section_8f                    1   N/I       0x8F       0x8F   .absSeg385
459
.abs_section_12f                   1   N/I      0x12F      0x12F   .absSeg386
460
.abs_section_8                     1   N/I        0x8        0x8   .absSeg387
461
.abs_section_32                    1   N/I       0x32       0x32   .absSeg388
462
.abs_section_30                    1   N/I       0x30       0x30   .absSeg389
463
.abs_section_265                   1   N/I      0x265      0x265   .absSeg390
464
.abs_section_26d                   1   N/I      0x26D      0x26D   .absSeg391
465
.abs_section_255                   1   N/I      0x255      0x255   .absSeg392
466
.abs_section_25d                   1   N/I      0x25D      0x25D   .absSeg393
467
.abs_section_24d                   1   N/I      0x24D      0x24D   .absSeg394
468
.abs_section_245                   1   N/I      0x245      0x245   .absSeg395
469
.abs_section_260                   1   N/I      0x260      0x260   .absSeg396
470
.abs_section_261                   1   N/I      0x261      0x261   .absSeg397
471
.abs_section_269                   1   N/I      0x269      0x269   .absSeg398
472
.abs_section_251                   1   N/I      0x251      0x251   .absSeg399
473
.abs_section_259                   1   N/I      0x259      0x259   .absSeg400
474
.abs_section_249                   1   N/I      0x249      0x249   .absSeg401
475
.abs_section_241                   1   N/I      0x241      0x241   .absSeg402
476
.abs_section_268                   1   N/I      0x268      0x268   .absSeg403
477
.abs_section_250                   1   N/I      0x250      0x250   .absSeg404
478
.abs_section_258                   1   N/I      0x258      0x258   .absSeg405
479
.abs_section_248                   1   N/I      0x248      0x248   .absSeg406
480
.abs_section_240                   1   N/I      0x240      0x240   .absSeg407
481
.abs_section_c                     1   N/I        0xC        0xC   .absSeg408
482
.abs_section_a4                    1   N/I       0xA4       0xA4   .absSeg409
483
.abs_section_a2                    1   N/I       0xA2       0xA2   .absSeg410
484
.abs_section_a5                    1   N/I       0xA5       0xA5   .absSeg411
485
.abs_section_a0                    1   N/I       0xA0       0xA0   .absSeg412
486
.abs_section_a1                    1   N/I       0xA1       0xA1   .absSeg413
487
.abs_section_a3                    1   N/I       0xA3       0xA3   .absSeg414
488
.abs_section_a8                    1   N/I       0xA8       0xA8   .absSeg415
489
.abs_section_a9                    1   N/I       0xA9       0xA9   .absSeg416
490
.abs_section_c4                    1   N/I       0xC4       0xC4   .absSeg417
491
.abs_section_263                   1   N/I      0x263      0x263   .absSeg418
492
.abs_section_d                     1   N/I        0xD        0xD   .absSeg419
493
.abs_section_26b                   1   N/I      0x26B      0x26B   .absSeg420
494
.abs_section_253                   1   N/I      0x253      0x253   .absSeg421
495
.abs_section_25b                   1   N/I      0x25B      0x25B   .absSeg422
496
.abs_section_24b                   1   N/I      0x24B      0x24B   .absSeg423
497
.abs_section_243                   1   N/I      0x243      0x243   .absSeg424
498
.abs_section_35                    1   N/I       0x35       0x35   .absSeg425
499
.abs_section_3b                    1   N/I       0x3B       0x3B   .absSeg426
500
.abs_section_ca                    1   N/I       0xCA       0xCA   .absSeg427
501
.abs_section_cb                    1   N/I       0xCB       0xCB   .absSeg428
502
.abs_section_ce                    1   N/I       0xCE       0xCE   .absSeg429
503
.abs_section_cf                    1   N/I       0xCF       0xCF   .absSeg430
504
.abs_section_cc                    1   N/I       0xCC       0xCC   .absSeg431
505
.abs_section_cd                    1   N/I       0xCD       0xCD   .absSeg432
506
.abs_section_d2                    1   N/I       0xD2       0xD2   .absSeg433
507
.abs_section_d3                    1   N/I       0xD3       0xD3   .absSeg434
508
.abs_section_d6                    1   N/I       0xD6       0xD6   .absSeg435
509
.abs_section_d7                    1   N/I       0xD7       0xD7   .absSeg436
510
.abs_section_d4                    1   N/I       0xD4       0xD4   .absSeg437
511
.abs_section_d5                    1   N/I       0xD5       0xD5   .absSeg438
512
.abs_section_da                    1   N/I       0xDA       0xDA   .absSeg439
513
.abs_section_d8                    1   N/I       0xD8       0xD8   .absSeg440
514
.abs_section_d9                    1   N/I       0xD9       0xD9   .absSeg441
515
.abs_section_dd                    1   N/I       0xDD       0xDD   .absSeg442
516
.abs_section_db                    1   N/I       0xDB       0xDB   .absSeg443
517
.abs_section_f2                    1   N/I       0xF2       0xF2   .absSeg444
518
.abs_section_f0                    1   N/I       0xF0       0xF0   .absSeg445
519
.abs_section_f1                    1   N/I       0xF1       0xF1   .absSeg446
520
.abs_section_f5                    1   N/I       0xF5       0xF5   .absSeg447
521
.abs_section_f3                    1   N/I       0xF3       0xF3   .absSeg448
522
.abs_section_fa                    1   N/I       0xFA       0xFA   .absSeg449
523
.abs_section_f8                    1   N/I       0xF8       0xF8   .absSeg450
524
.abs_section_f9                    1   N/I       0xF9       0xF9   .absSeg451
525
.abs_section_fd                    1   N/I       0xFD       0xFD   .absSeg452
526
.abs_section_fb                    1   N/I       0xFB       0xFB   .absSeg453
527
.abs_section_34                    1   N/I       0x34       0x34   .absSeg454
528
.abs_section_48                    1   N/I       0x48       0x48   .absSeg455
529
.abs_section_49                    1   N/I       0x49       0x49   .absSeg456
530
.abs_section_4a                    1   N/I       0x4A       0x4A   .absSeg457
531
.abs_section_4b                    1   N/I       0x4B       0x4B   .absSeg458
532
.abs_section_4e                    1   N/I       0x4E       0x4E   .absSeg459
533
.abs_section_4f                    1   N/I       0x4F       0x4F   .absSeg460
534
.abs_section_4c                    1   N/I       0x4C       0x4C   .absSeg461
535
.abs_section_6d                    1   N/I       0x6D       0x6D   .absSeg462
536
.abs_section_40                    1   N/I       0x40       0x40   .absSeg463
537
.abs_section_46                    1   N/I       0x46       0x46   .absSeg464
538
.abs_section_4d                    1   N/I       0x4D       0x4D   .absSeg465
539
.abs_section_47                    1   N/I       0x47       0x47   .absSeg466
540
.abs_section_256                   1   N/I      0x256      0x256   .absSeg467
541
.abs_section_24e                   1   N/I      0x24E      0x24E   .absSeg468
542
.abs_section_82                    2   N/I       0x82       0x83   .absSeg469
543
.abs_section_84                    2   N/I       0x84       0x85   .absSeg470
544
.abs_section_90                    2   N/I       0x90       0x91   .absSeg471
545
.abs_section_92                    2   N/I       0x92       0x93   .absSeg472
546
.abs_section_94                    2   N/I       0x94       0x95   .absSeg473
547
.abs_section_96                    2   N/I       0x96       0x97   .absSeg474
548
.abs_section_98                    2   N/I       0x98       0x99   .absSeg475
549
.abs_section_9a                    2   N/I       0x9A       0x9B   .absSeg476
550
.abs_section_9c                    2   N/I       0x9C       0x9D   .absSeg477
551
.abs_section_9e                    2   N/I       0x9E       0x9F   .absSeg478
552
.abs_section_122                   2   N/I      0x122      0x123   .absSeg479
553
.abs_section_124                   2   N/I      0x124      0x125   .absSeg480
554
.abs_section_130                   2   N/I      0x130      0x131   .absSeg481
555
.abs_section_132                   2   N/I      0x132      0x133   .absSeg482
556
.abs_section_134                   2   N/I      0x134      0x135   .absSeg483
557
.abs_section_136                   2   N/I      0x136      0x137   .absSeg484
558
.abs_section_138                   2   N/I      0x138      0x139   .absSeg485
559
.abs_section_13a                   2   N/I      0x13A      0x13B   .absSeg486
560
.abs_section_13c                   2   N/I      0x13C      0x13D   .absSeg487
561
.abs_section_13e                   2   N/I      0x13E      0x13F   .absSeg488
562
.abs_section_2                     2   N/I        0x2        0x3   .absSeg489
563
.abs_section_76                    2   N/I       0x76       0x77   .absSeg490
564
.abs_section_74                    2   N/I       0x74       0x75   .absSeg491
565
.abs_section_72                    2   N/I       0x72       0x73   .absSeg492
566
.abs_section_64                    2   N/I       0x64       0x65   .absSeg493
567
.abs_section_62                    2   N/I       0x62       0x63   .absSeg494
568
.abs_section_0                     2   N/I        0x0        0x1   .absSeg495
569
.abs_section_ac                    2   N/I       0xAC       0xAD   .absSeg496
570
.abs_section_ae                    2   N/I       0xAE       0xAF   .absSeg497
571
.abs_section_b0                    2   N/I       0xB0       0xB1   .absSeg498
572
.abs_section_b2                    2   N/I       0xB2       0xB3   .absSeg499
573
.abs_section_bc                    2   N/I       0xBC       0xBD   .absSeg500
574
.abs_section_be                    2   N/I       0xBE       0xBF   .absSeg501
575
.abs_section_c0                    2   N/I       0xC0       0xC1   .absSeg502
576
.abs_section_c2                    2   N/I       0xC2       0xC3   .absSeg503
577
.abs_section_b4                    2   N/I       0xB4       0xB5   .absSeg504
578
.abs_section_b6                    2   N/I       0xB6       0xB7   .absSeg505
579
.abs_section_b8                    2   N/I       0xB8       0xB9   .absSeg506
580
.abs_section_ba                    2   N/I       0xBA       0xBB   .absSeg507
581
.abs_section_c8                    2   N/I       0xC8       0xC9   .absSeg508
582
.abs_section_d0                    2   N/I       0xD0       0xD1   .absSeg509
583
.abs_section_50                    2   N/I       0x50       0x51   .absSeg510
584
.abs_section_78                    2   N/I       0x78       0x79   .absSeg511
585
.abs_section_52                    2   N/I       0x52       0x53   .absSeg512
586
.abs_section_7a                    2   N/I       0x7A       0x7B   .absSeg513
587
.abs_section_54                    2   N/I       0x54       0x55   .absSeg514
588
.abs_section_7c                    2   N/I       0x7C       0x7D   .absSeg515
589
.abs_section_56                    2   N/I       0x56       0x57   .absSeg516
590
.abs_section_7e                    2   N/I       0x7E       0x7F   .absSeg517
591
.abs_section_58                    2   N/I       0x58       0x59   .absSeg518
592
.abs_section_5a                    2   N/I       0x5A       0x5B   .absSeg519
593
.abs_section_5c                    2   N/I       0x5C       0x5D   .absSeg520
594
.abs_section_5e                    2   N/I       0x5E       0x5F   .absSeg521
595
.abs_section_44                    2   N/I       0x44       0x45   .absSeg522
596
.abs_section_ff80                128     R     0xFF80     0xFFFF   .absSeg523
597
.bss                           10475   R/W     0x1001     0x38EB   RAM
598
.common                            6   R/W     0x38EC     0x38F1   RAM
599
TickTimer_CODE                   123     R   0x3B8124   0x3B819E   ROM_PAGE3B
600
Byte1_CODE                        53     R   0x3B819F   0x3B81D3   ROM_PAGE3B
601
COM0_CODE                         62     R   0x3B81D4   0x3B8211   ROM_PAGE3B
602
TickTimer_DATA                     2   R/W     0x38F2     0x38F3   RAM
603
Byte1_DATA                         8   R/W     0x38F4     0x38FB   RAM
604
COM0_DATA                         13   R/W     0x38FC     0x3908   RAM
605
.stack                           128   R/W     0x3909     0x3988   RAM
606
ROM_PAGE31_524                   553     R   0x318000   0x318228   ROM_PAGE31
607
ROM_PAGE32_525                   541     R   0x328000   0x32821C   ROM_PAGE32
608
ROM_PAGE33_526                   548     R   0x338000   0x338223   ROM_PAGE33
609
ROM_PAGE34_527                   587     R   0x348000   0x34824A   ROM_PAGE34
610
ROM_PAGE35_528                   591     R   0x358000   0x35824E   ROM_PAGE35
611
ROM_PAGE36_529                   509     R   0x368000   0x3681FC   ROM_PAGE36
612
ROM_PAGE37_530                   593     R   0x378000   0x378250   ROM_PAGE37
613
ROM_PAGE38_531                   498     R   0x388000   0x3881F1   ROM_PAGE38
614
ROM_PAGE39_532                   407     R   0x398000   0x398196   ROM_PAGE39
615
ROM_PAGE3A_533                   588     R   0x3A8000   0x3A824B   ROM_PAGE3A
616
ROM_PAGE3B_534                   292     R   0x3B8000   0x3B8123   ROM_PAGE3B
617
 
618
Summary of section sizes per section type:
619
READ_ONLY (R):        1DD4 (dec:     7636)
620
READ_WRITE (R/W):     2989 (dec:    10633)
621
NO_INIT (N/I):         241 (dec:      577)
622
 
623
*********************************************************************************************
624
VECTOR-ALLOCATION SECTION
625
    Address     InitValue   InitFunction
626
---------------------------------------------------------------------------------------------
627
 
628
*********************************************************************************************
629
OBJECT-ALLOCATION SECTION
630
     Name               Module                 Addr   hSize   dSize     Ref    Section   RLIB
631
---------------------------------------------------------------------------------------------
632
MODULE:                 -- Start12.c.o --
633
- PROCEDURES:
634
     Init                                    308000      29      41       2   .text
635
     _Startup                                  C151      12      18       1   NON_BANKED
636
- VARIABLES:
637
     _startupData                              C07C      18      24       6   .startData
638
MODULE:                 -- STRING.C.o (ansibi.lib) --
639
- PROCEDURES:
640
     memcpy                                  308029      26      38       8   .text
641
     memset                                  30804F      1E      30       2   .text
642
     strncpy                                 30806D      2D      45       2   .text
643
- VARIABLES:
644
MODULE:                 -- rtshc12.c.o (ansibi.lib) --
645
- PROCEDURES:
646
     _LCMP                                     C163      19      25       2   NON_BANKED
647
     _LCMP_P                                   C17C      15      21       3   NON_BANKED
648
     _LNEG                                     C191       D      13       2   NON_BANKED
649
     _LINC                                     C19E       5       5       4   NON_BANKED
650
     _LMUL                                     C1A3      27      39       1   NON_BANKED
651
     _lDivMod                                  C1CA      E3     227       3   NON_BANKED
652
     _LDIVU                                    C2AD       E      14       1   NON_BANKED
653
     _NEG_P                                    C2BB       F      15       4   NON_BANKED
654
     _LDIVS                                    C2CA      35      53       2   NON_BANKED
655
- VARIABLES:
656
MODULE:                 -- Cpu.C.o --
657
- PROCEDURES:
658
     _EntryPoint                               C000      2E      46       1   .init
659
     PE_low_level_init                         C02E      4E      78       2   .init
660
     Cpu_Interrupt                             C2FF       1       1      60   NON_BANKED
661
- VARIABLES:
662
MODULE:                 -- IO_Map.C.o --
663
- PROCEDURES:
664
- VARIABLES:
665
     _ARMCOP                                     3F       1       1       0   .abs_section_3f
666
     _ATD0DIEN                                   8D       1       1       0   .abs_section_8d
667
     _ATD0STAT0                                  86       1       1       0   .abs_section_86
668
     _ATD0STAT1                                  8B       1       1       0   .abs_section_8b
669
     _ATD1DIEN                                  12D       1       1       0   .abs_section_12d
670
     _ATD1STAT0                                 126       1       1       0   .abs_section_126
671
     _ATD1STAT1                                 12B       1       1       0   .abs_section_12b
672
     _BDMCCR                                   FF06       1       1       0   .abs_section_ff06
673
     _BDMINR                                   FF07       1       1       0   .abs_section_ff07
674
     _BDMSTS                                   FF01       1       1       0   .abs_section_ff01
675
     _BKP0H                                      2B       1       1       0   .abs_section_2b
676
     _BKP0L                                      2C       1       1       0   .abs_section_2c
677
     _BKP0X                                      2A       1       1       0   .abs_section_2a
678
     _BKP1H                                      2E       1       1       0   .abs_section_2e
679
     _BKP1L                                      2F       1       1       0   .abs_section_2f
680
     _BKP1X                                      2D       1       1       0   .abs_section_2d
681
     _BKPCT0                                     28       1       1       0   .abs_section_28
682
     _BKPCT1                                     29       1       1       0   .abs_section_29
683
     _CAN0BTR0                                  142       1       1       0   .abs_section_142
684
     _CAN0BTR1                                  143       1       1       0   .abs_section_143
685
     _CAN0CTL0                                  140       1       1       0   .abs_section_140
686
     _CAN0CTL1                                  141       1       1       0   .abs_section_141
687
     _CAN0IDAC                                  14B       1       1       0   .abs_section_14b
688
     _CAN0IDAR0                                 150       1       1       0   .abs_section_150
689
     _CAN0IDAR1                                 151       1       1       0   .abs_section_151
690
     _CAN0IDAR2                                 152       1       1       0   .abs_section_152
691
     _CAN0IDAR3                                 153       1       1       0   .abs_section_153
692
     _CAN0IDAR4                                 158       1       1       0   .abs_section_158
693
     _CAN0IDAR5                                 159       1       1       0   .abs_section_159
694
     _CAN0IDAR6                                 15A       1       1       0   .abs_section_15a
695
     _CAN0IDAR7                                 15B       1       1       0   .abs_section_15b
696
     _CAN0IDMR0                                 154       1       1       0   .abs_section_154
697
     _CAN0IDMR1                                 155       1       1       0   .abs_section_155
698
     _CAN0IDMR2                                 156       1       1       0   .abs_section_156
699
     _CAN0IDMR3                                 157       1       1       0   .abs_section_157
700
     _CAN0IDMR4                                 15C       1       1       0   .abs_section_15c
701
     _CAN0IDMR5                                 15D       1       1       0   .abs_section_15d
702
     _CAN0IDMR6                                 15E       1       1       0   .abs_section_15e
703
     _CAN0IDMR7                                 15F       1       1       0   .abs_section_15f
704
     _CAN0RFLG                                  144       1       1       0   .abs_section_144
705
     _CAN0RIER                                  145       1       1       0   .abs_section_145
706
     _CAN0RXDLR                                 16C       1       1       0   .abs_section_16c
707
     _CAN0RXDSR0                                164       1       1       0   .abs_section_164
708
     _CAN0RXDSR1                                165       1       1       0   .abs_section_165
709
     _CAN0RXDSR2                                166       1       1       0   .abs_section_166
710
     _CAN0RXDSR3                                167       1       1       0   .abs_section_167
711
     _CAN0RXDSR4                                168       1       1       0   .abs_section_168
712
     _CAN0RXDSR5                                169       1       1       0   .abs_section_169
713
     _CAN0RXDSR6                                16A       1       1       0   .abs_section_16a
714
     _CAN0RXDSR7                                16B       1       1       0   .abs_section_16b
715
     _CAN0RXERR                                 14E       1       1       0   .abs_section_14e
716
     _CAN0RXIDR0                                160       1       1       0   .abs_section_160
717
     _CAN0RXIDR1                                161       1       1       0   .abs_section_161
718
     _CAN0RXIDR2                                162       1       1       0   .abs_section_162
719
     _CAN0RXIDR3                                163       1       1       0   .abs_section_163
720
     _CAN0TAAK                                  149       1       1       0   .abs_section_149
721
     _CAN0TARQ                                  148       1       1       0   .abs_section_148
722
     _CAN0TBSEL                                 14A       1       1       0   .abs_section_14a
723
     _CAN0TFLG                                  146       1       1       0   .abs_section_146
724
     _CAN0TIER                                  147       1       1       0   .abs_section_147
725
     _CAN0TXDLR                                 17C       1       1       0   .abs_section_17c
726
     _CAN0TXDSR0                                174       1       1       0   .abs_section_174
727
     _CAN0TXDSR1                                175       1       1       0   .abs_section_175
728
     _CAN0TXDSR2                                176       1       1       0   .abs_section_176
729
     _CAN0TXDSR3                                177       1       1       0   .abs_section_177
730
     _CAN0TXDSR4                                178       1       1       0   .abs_section_178
731
     _CAN0TXDSR5                                179       1       1       0   .abs_section_179
732
     _CAN0TXDSR6                                17A       1       1       0   .abs_section_17a
733
     _CAN0TXDSR7                                17B       1       1       0   .abs_section_17b
734
     _CAN0TXERR                                 14F       1       1       0   .abs_section_14f
735
     _CAN0TXIDR0                                170       1       1       0   .abs_section_170
736
     _CAN0TXIDR1                                171       1       1       0   .abs_section_171
737
     _CAN0TXIDR2                                172       1       1       0   .abs_section_172
738
     _CAN0TXIDR3                                173       1       1       0   .abs_section_173
739
     _CAN0TXTBPR                                17F       1       1       0   .abs_section_17f
740
     _CAN1BTR0                                  182       1       1       0   .abs_section_182
741
     _CAN1BTR1                                  183       1       1       0   .abs_section_183
742
     _CAN1CTL0                                  180       1       1       0   .abs_section_180
743
     _CAN1CTL1                                  181       1       1       0   .abs_section_181
744
     _CAN1IDAC                                  18B       1       1       0   .abs_section_18b
745
     _CAN1IDAR0                                 190       1       1       0   .abs_section_190
746
     _CAN1IDAR1                                 191       1       1       0   .abs_section_191
747
     _CAN1IDAR2                                 192       1       1       0   .abs_section_192
748
     _CAN1IDAR3                                 193       1       1       0   .abs_section_193
749
     _CAN1IDAR4                                 198       1       1       0   .abs_section_198
750
     _CAN1IDAR5                                 199       1       1       0   .abs_section_199
751
     _CAN1IDAR6                                 19A       1       1       0   .abs_section_19a
752
     _CAN1IDAR7                                 19B       1       1       0   .abs_section_19b
753
     _CAN1IDMR0                                 194       1       1       0   .abs_section_194
754
     _CAN1IDMR1                                 195       1       1       0   .abs_section_195
755
     _CAN1IDMR2                                 196       1       1       0   .abs_section_196
756
     _CAN1IDMR3                                 197       1       1       0   .abs_section_197
757
     _CAN1IDMR4                                 19C       1       1       0   .abs_section_19c
758
     _CAN1IDMR5                                 19D       1       1       0   .abs_section_19d
759
     _CAN1IDMR6                                 19E       1       1       0   .abs_section_19e
760
     _CAN1IDMR7                                 19F       1       1       0   .abs_section_19f
761
     _CAN1RFLG                                  184       1       1       0   .abs_section_184
762
     _CAN1RIER                                  185       1       1       0   .abs_section_185
763
     _CAN1RXDLR                                 1AC       1       1       0   .abs_section_1ac
764
     _CAN1RXDSR0                                1A4       1       1       0   .abs_section_1a4
765
     _CAN1RXDSR1                                1A5       1       1       0   .abs_section_1a5
766
     _CAN1RXDSR2                                1A6       1       1       0   .abs_section_1a6
767
     _CAN1RXDSR3                                1A7       1       1       0   .abs_section_1a7
768
     _CAN1RXDSR4                                1A8       1       1       0   .abs_section_1a8
769
     _CAN1RXDSR5                                1A9       1       1       0   .abs_section_1a9
770
     _CAN1RXDSR6                                1AA       1       1       0   .abs_section_1aa
771
     _CAN1RXDSR7                                1AB       1       1       0   .abs_section_1ab
772
     _CAN1RXERR                                 18E       1       1       0   .abs_section_18e
773
     _CAN1RXIDR0                                1A0       1       1       0   .abs_section_1a0
774
     _CAN1RXIDR1                                1A1       1       1       0   .abs_section_1a1
775
     _CAN1RXIDR2                                1A2       1       1       0   .abs_section_1a2
776
     _CAN1RXIDR3                                1A3       1       1       0   .abs_section_1a3
777
     _CAN1TAAK                                  189       1       1       0   .abs_section_189
778
     _CAN1TARQ                                  188       1       1       0   .abs_section_188
779
     _CAN1TBSEL                                 18A       1       1       0   .abs_section_18a
780
     _CAN1TFLG                                  186       1       1       0   .abs_section_186
781
     _CAN1TIER                                  187       1       1       0   .abs_section_187
782
     _CAN1TXDLR                                 1BC       1       1       0   .abs_section_1bc
783
     _CAN1TXDSR0                                1B4       1       1       0   .abs_section_1b4
784
     _CAN1TXDSR1                                1B5       1       1       0   .abs_section_1b5
785
     _CAN1TXDSR2                                1B6       1       1       0   .abs_section_1b6
786
     _CAN1TXDSR3                                1B7       1       1       0   .abs_section_1b7
787
     _CAN1TXDSR4                                1B8       1       1       0   .abs_section_1b8
788
     _CAN1TXDSR5                                1B9       1       1       0   .abs_section_1b9
789
     _CAN1TXDSR6                                1BA       1       1       0   .abs_section_1ba
790
     _CAN1TXDSR7                                1BB       1       1       0   .abs_section_1bb
791
     _CAN1TXERR                                 18F       1       1       0   .abs_section_18f
792
     _CAN1TXIDR0                                1B0       1       1       0   .abs_section_1b0
793
     _CAN1TXIDR1                                1B1       1       1       0   .abs_section_1b1
794
     _CAN1TXIDR2                                1B2       1       1       0   .abs_section_1b2
795
     _CAN1TXIDR3                                1B3       1       1       0   .abs_section_1b3
796
     _CAN1TXTBPR                                1BF       1       1       0   .abs_section_1bf
797
     _CAN2BTR0                                  1C2       1       1       0   .abs_section_1c2
798
     _CAN2BTR1                                  1C3       1       1       0   .abs_section_1c3
799
     _CAN2CTL0                                  1C0       1       1       0   .abs_section_1c0
800
     _CAN2CTL1                                  1C1       1       1       0   .abs_section_1c1
801
     _CAN2IDAC                                  1CB       1       1       0   .abs_section_1cb
802
     _CAN2IDAR0                                 1D0       1       1       0   .abs_section_1d0
803
     _CAN2IDAR1                                 1D1       1       1       0   .abs_section_1d1
804
     _CAN2IDAR2                                 1D2       1       1       0   .abs_section_1d2
805
     _CAN2IDAR3                                 1D3       1       1       0   .abs_section_1d3
806
     _CAN2IDAR4                                 1D8       1       1       0   .abs_section_1d8
807
     _CAN2IDAR5                                 1D9       1       1       0   .abs_section_1d9
808
     _CAN2IDAR6                                 1DA       1       1       0   .abs_section_1da
809
     _CAN2IDAR7                                 1DB       1       1       0   .abs_section_1db
810
     _CAN2IDMR0                                 1D4       1       1       0   .abs_section_1d4
811
     _CAN2IDMR1                                 1D5       1       1       0   .abs_section_1d5
812
     _CAN2IDMR2                                 1D6       1       1       0   .abs_section_1d6
813
     _CAN2IDMR3                                 1D7       1       1       0   .abs_section_1d7
814
     _CAN2IDMR4                                 1DC       1       1       0   .abs_section_1dc
815
     _CAN2IDMR5                                 1DD       1       1       0   .abs_section_1dd
816
     _CAN2IDMR6                                 1DE       1       1       0   .abs_section_1de
817
     _CAN2IDMR7                                 1DF       1       1       0   .abs_section_1df
818
     _CAN2RFLG                                  1C4       1       1       0   .abs_section_1c4
819
     _CAN2RIER                                  1C5       1       1       0   .abs_section_1c5
820
     _CAN2RXDLR                                 1EC       1       1       0   .abs_section_1ec
821
     _CAN2RXDSR0                                1E4       1       1       0   .abs_section_1e4
822
     _CAN2RXDSR1                                1E5       1       1       0   .abs_section_1e5
823
     _CAN2RXDSR2                                1E6       1       1       0   .abs_section_1e6
824
     _CAN2RXDSR3                                1E7       1       1       0   .abs_section_1e7
825
     _CAN2RXDSR4                                1E8       1       1       0   .abs_section_1e8
826
     _CAN2RXDSR5                                1E9       1       1       0   .abs_section_1e9
827
     _CAN2RXDSR6                                1EA       1       1       0   .abs_section_1ea
828
     _CAN2RXDSR7                                1EB       1       1       0   .abs_section_1eb
829
     _CAN2RXERR                                 1CE       1       1       0   .abs_section_1ce
830
     _CAN2RXIDR0                                1E0       1       1       0   .abs_section_1e0
831
     _CAN2RXIDR1                                1E1       1       1       0   .abs_section_1e1
832
     _CAN2RXIDR2                                1E2       1       1       0   .abs_section_1e2
833
     _CAN2RXIDR3                                1E3       1       1       0   .abs_section_1e3
834
     _CAN2TAAK                                  1C9       1       1       0   .abs_section_1c9
835
     _CAN2TARQ                                  1C8       1       1       0   .abs_section_1c8
836
     _CAN2TBSEL                                 1CA       1       1       0   .abs_section_1ca
837
     _CAN2TFLG                                  1C6       1       1       0   .abs_section_1c6
838
     _CAN2TIER                                  1C7       1       1       0   .abs_section_1c7
839
     _CAN2TXDLR                                 1FC       1       1       0   .abs_section_1fc
840
     _CAN2TXDSR0                                1F4       1       1       0   .abs_section_1f4
841
     _CAN2TXDSR1                                1F5       1       1       0   .abs_section_1f5
842
     _CAN2TXDSR2                                1F6       1       1       0   .abs_section_1f6
843
     _CAN2TXDSR3                                1F7       1       1       0   .abs_section_1f7
844
     _CAN2TXDSR4                                1F8       1       1       0   .abs_section_1f8
845
     _CAN2TXDSR5                                1F9       1       1       0   .abs_section_1f9
846
     _CAN2TXDSR6                                1FA       1       1       0   .abs_section_1fa
847
     _CAN2TXDSR7                                1FB       1       1       0   .abs_section_1fb
848
     _CAN2TXERR                                 1CF       1       1       0   .abs_section_1cf
849
     _CAN2TXIDR0                                1F0       1       1       0   .abs_section_1f0
850
     _CAN2TXIDR1                                1F1       1       1       0   .abs_section_1f1
851
     _CAN2TXIDR2                                1F2       1       1       0   .abs_section_1f2
852
     _CAN2TXIDR3                                1F3       1       1       0   .abs_section_1f3
853
     _CAN2TXTBPR                                1FF       1       1       0   .abs_section_1ff
854
     _CAN3BTR0                                  202       1       1       0   .abs_section_202
855
     _CAN3BTR1                                  203       1       1       0   .abs_section_203
856
     _CAN3CTL0                                  200       1       1       0   .abs_section_200
857
     _CAN3CTL1                                  201       1       1       0   .abs_section_201
858
     _CAN3IDAC                                  20B       1       1       0   .abs_section_20b
859
     _CAN3IDAR0                                 210       1       1       0   .abs_section_210
860
     _CAN3IDAR1                                 211       1       1       0   .abs_section_211
861
     _CAN3IDAR2                                 212       1       1       0   .abs_section_212
862
     _CAN3IDAR3                                 213       1       1       0   .abs_section_213
863
     _CAN3IDAR4                                 218       1       1       0   .abs_section_218
864
     _CAN3IDAR5                                 219       1       1       0   .abs_section_219
865
     _CAN3IDAR6                                 21A       1       1       0   .abs_section_21a
866
     _CAN3IDAR7                                 21B       1       1       0   .abs_section_21b
867
     _CAN3IDMR0                                 214       1       1       0   .abs_section_214
868
     _CAN3IDMR1                                 215       1       1       0   .abs_section_215
869
     _CAN3IDMR2                                 216       1       1       0   .abs_section_216
870
     _CAN3IDMR3                                 217       1       1       0   .abs_section_217
871
     _CAN3IDMR4                                 21C       1       1       0   .abs_section_21c
872
     _CAN3IDMR5                                 21D       1       1       0   .abs_section_21d
873
     _CAN3IDMR6                                 21E       1       1       0   .abs_section_21e
874
     _CAN3IDMR7                                 21F       1       1       0   .abs_section_21f
875
     _CAN3RFLG                                  204       1       1       0   .abs_section_204
876
     _CAN3RIER                                  205       1       1       0   .abs_section_205
877
     _CAN3RXDLR                                 22C       1       1       0   .abs_section_22c
878
     _CAN3RXDSR0                                224       1       1       0   .abs_section_224
879
     _CAN3RXDSR1                                225       1       1       0   .abs_section_225
880
     _CAN3RXDSR2                                226       1       1       0   .abs_section_226
881
     _CAN3RXDSR3                                227       1       1       0   .abs_section_227
882
     _CAN3RXDSR4                                228       1       1       0   .abs_section_228
883
     _CAN3RXDSR5                                229       1       1       0   .abs_section_229
884
     _CAN3RXDSR6                                22A       1       1       0   .abs_section_22a
885
     _CAN3RXDSR7                                22B       1       1       0   .abs_section_22b
886
     _CAN3RXERR                                 20E       1       1       0   .abs_section_20e
887
     _CAN3RXIDR0                                220       1       1       0   .abs_section_220
888
     _CAN3RXIDR1                                221       1       1       0   .abs_section_221
889
     _CAN3RXIDR2                                222       1       1       0   .abs_section_222
890
     _CAN3RXIDR3                                223       1       1       0   .abs_section_223
891
     _CAN3TAAK                                  209       1       1       0   .abs_section_209
892
     _CAN3TARQ                                  208       1       1       0   .abs_section_208
893
     _CAN3TBSEL                                 20A       1       1       0   .abs_section_20a
894
     _CAN3TFLG                                  206       1       1       0   .abs_section_206
895
     _CAN3TIER                                  207       1       1       0   .abs_section_207
896
     _CAN3TXDLR                                 23C       1       1       0   .abs_section_23c
897
     _CAN3TXDSR0                                234       1       1       0   .abs_section_234
898
     _CAN3TXDSR1                                235       1       1       0   .abs_section_235
899
     _CAN3TXDSR2                                236       1       1       0   .abs_section_236
900
     _CAN3TXDSR3                                237       1       1       0   .abs_section_237
901
     _CAN3TXDSR4                                238       1       1       0   .abs_section_238
902
     _CAN3TXDSR5                                239       1       1       0   .abs_section_239
903
     _CAN3TXDSR6                                23A       1       1       0   .abs_section_23a
904
     _CAN3TXDSR7                                23B       1       1       0   .abs_section_23b
905
     _CAN3TXERR                                 20F       1       1       0   .abs_section_20f
906
     _CAN3TXIDR0                                230       1       1       0   .abs_section_230
907
     _CAN3TXIDR1                                231       1       1       0   .abs_section_231
908
     _CAN3TXIDR2                                232       1       1       0   .abs_section_232
909
     _CAN3TXIDR3                                233       1       1       0   .abs_section_233
910
     _CAN3TXTBPR                                23F       1       1       0   .abs_section_23f
911
     _CAN4BTR0                                  282       1       1       0   .abs_section_282
912
     _CAN4BTR1                                  283       1       1       0   .abs_section_283
913
     _CAN4CTL0                                  280       1       1       0   .abs_section_280
914
     _CAN4CTL1                                  281       1       1       0   .abs_section_281
915
     _CAN4IDAC                                  28B       1       1       0   .abs_section_28b
916
     _CAN4IDAR0                                 290       1       1       0   .abs_section_290
917
     _CAN4IDAR1                                 291       1       1       0   .abs_section_291
918
     _CAN4IDAR2                                 292       1       1       0   .abs_section_292
919
     _CAN4IDAR3                                 293       1       1       0   .abs_section_293
920
     _CAN4IDAR4                                 298       1       1       0   .abs_section_298
921
     _CAN4IDAR5                                 299       1       1       0   .abs_section_299
922
     _CAN4IDAR6                                 29A       1       1       0   .abs_section_29a
923
     _CAN4IDAR7                                 29B       1       1       0   .abs_section_29b
924
     _CAN4IDMR0                                 294       1       1       0   .abs_section_294
925
     _CAN4IDMR1                                 295       1       1       0   .abs_section_295
926
     _CAN4IDMR2                                 296       1       1       0   .abs_section_296
927
     _CAN4IDMR3                                 297       1       1       0   .abs_section_297
928
     _CAN4IDMR4                                 29C       1       1       0   .abs_section_29c
929
     _CAN4IDMR5                                 29D       1       1       0   .abs_section_29d
930
     _CAN4IDMR6                                 29E       1       1       0   .abs_section_29e
931
     _CAN4IDMR7                                 29F       1       1       0   .abs_section_29f
932
     _CAN4RFLG                                  284       1       1       0   .abs_section_284
933
     _CAN4RIER                                  285       1       1       0   .abs_section_285
934
     _CAN4RXDLR                                 2AC       1       1       0   .abs_section_2ac
935
     _CAN4RXDSR0                                2A4       1       1       0   .abs_section_2a4
936
     _CAN4RXDSR1                                2A5       1       1       0   .abs_section_2a5
937
     _CAN4RXDSR2                                2A6       1       1       0   .abs_section_2a6
938
     _CAN4RXDSR3                                2A7       1       1       0   .abs_section_2a7
939
     _CAN4RXDSR4                                2A8       1       1       0   .abs_section_2a8
940
     _CAN4RXDSR5                                2A9       1       1       0   .abs_section_2a9
941
     _CAN4RXDSR6                                2AA       1       1       0   .abs_section_2aa
942
     _CAN4RXDSR7                                2AB       1       1       0   .abs_section_2ab
943
     _CAN4RXERR                                 28E       1       1       0   .abs_section_28e
944
     _CAN4RXIDR0                                2A0       1       1       0   .abs_section_2a0
945
     _CAN4RXIDR1                                2A1       1       1       0   .abs_section_2a1
946
     _CAN4RXIDR2                                2A2       1       1       0   .abs_section_2a2
947
     _CAN4RXIDR3                                2A3       1       1       0   .abs_section_2a3
948
     _CAN4TAAK                                  289       1       1       0   .abs_section_289
949
     _CAN4TARQ                                  288       1       1       0   .abs_section_288
950
     _CAN4TBSEL                                 28A       1       1       0   .abs_section_28a
951
     _CAN4TFLG                                  286       1       1       0   .abs_section_286
952
     _CAN4TIER                                  287       1       1       0   .abs_section_287
953
     _CAN4TXDLR                                 2BC       1       1       0   .abs_section_2bc
954
     _CAN4TXDSR0                                2B4       1       1       0   .abs_section_2b4
955
     _CAN4TXDSR1                                2B5       1       1       0   .abs_section_2b5
956
     _CAN4TXDSR2                                2B6       1       1       0   .abs_section_2b6
957
     _CAN4TXDSR3                                2B7       1       1       0   .abs_section_2b7
958
     _CAN4TXDSR4                                2B8       1       1       0   .abs_section_2b8
959
     _CAN4TXDSR5                                2B9       1       1       0   .abs_section_2b9
960
     _CAN4TXDSR6                                2BA       1       1       0   .abs_section_2ba
961
     _CAN4TXDSR7                                2BB       1       1       0   .abs_section_2bb
962
     _CAN4TXERR                                 28F       1       1       0   .abs_section_28f
963
     _CAN4TXIDR0                                2B0       1       1       0   .abs_section_2b0
964
     _CAN4TXIDR1                                2B1       1       1       0   .abs_section_2b1
965
     _CAN4TXIDR2                                2B2       1       1       0   .abs_section_2b2
966
     _CAN4TXIDR3                                2B3       1       1       0   .abs_section_2b3
967
     _CAN4TXTBPR                                2BF       1       1       0   .abs_section_2bf
968
     _CFORC                                      41       1       1       0   .abs_section_41
969
     _CLKSEL                                     39       1       1       3   .abs_section_39
970
     _COPCTL                                     3C       1       1       0   .abs_section_3c
971
     _CRGFLG                                     37       1       1       1   .abs_section_37
972
     _CRGINT                                     38       1       1       0   .abs_section_38
973
     _CTCTL                                      3E       1       1       0   .abs_section_3e
974
     _CTFLG                                      36       1       1       0   .abs_section_36
975
     _DDRE                                        9       1       1       0   .abs_section_9
976
     _DDRH                                      262       1       1       0   .abs_section_262
977
     _DDRJ                                      26A       1       1       0   .abs_section_26a
978
     _DDRK                                       33       1       1       0   .abs_section_33
979
     _DDRM                                      252       1       1       0   .abs_section_252
980
     _DDRP                                      25A       1       1       0   .abs_section_25a
981
     _DDRS                                      24A       1       1       2   .abs_section_24a
982
     _DDRT                                      242       1       1       0   .abs_section_242
983
     _DLCBARD                                    EC       1       1       0   .abs_section_ec
984
     _DLCBCR1                                    E8       1       1       0   .abs_section_e8
985
     _DLCBCR2                                    EA       1       1       0   .abs_section_ea
986
     _DLCBDR                                     EB       1       1       0   .abs_section_eb
987
     _DLCBRSR                                    ED       1       1       0   .abs_section_ed
988
     _DLCBSVR                                    E9       1       1       0   .abs_section_e9
989
     _DLCSCR                                     EE       1       1       0   .abs_section_ee
990
     _DLYCT                                      69       1       1       0   .abs_section_69
991
     _EBICTL                                      E       1       1       0   .abs_section_e
992
     _ECLKDIV                                   110       1       1       0   .abs_section_110
993
     _ECMD                                      116       1       1       0   .abs_section_116
994
     _ECNFG                                     113       1       1       0   .abs_section_113
995
     _EPROT                                     114       1       1       0   .abs_section_114
996
     _ESTAT                                     115       1       1       0   .abs_section_115
997
     _FCLKDIV                                   100       1       1       0   .abs_section_100
998
     _FCMD                                      106       1       1       0   .abs_section_106
999
     _FCNFG                                     103       1       1       0   .abs_section_103
1000
     _FORBYP                                     3D       1       1       0   .abs_section_3d
1001
     _FPROT                                     104       1       1       0   .abs_section_104
1002
     _FSEC                                      101       1       1       0   .abs_section_101
1003
     _FSTAT                                     105       1       1       0   .abs_section_105
1004
     _HPRIO                                      1F       1       1       0   .abs_section_1f
1005
     _IBAD                                       E0       1       1       0   .abs_section_e0
1006
     _IBCR                                       E2       1       1       0   .abs_section_e2
1007
     _IBDR                                       E4       1       1       0   .abs_section_e4
1008
     _IBFD                                       E1       1       1       0   .abs_section_e1
1009
     _IBSR                                       E3       1       1       0   .abs_section_e3
1010
     _ICOVW                                      6A       1       1       0   .abs_section_6a
1011
     _ICPAR                                      68       1       1       0   .abs_section_68
1012
     _ICSYS                                      6B       1       1       1   .abs_section_6b
1013
     _INITEE                                     12       1       1       1   .abs_section_12
1014
     _INITRG                                     11       1       1       0   .abs_section_11
1015
     _INITRM                                     10       1       1       1   .abs_section_10
1016
     _INTCR                                      1E       1       1       1   .abs_section_1e
1017
     _ITCR                                       15       1       1       0   .abs_section_15
1018
     _ITEST                                      16       1       1       0   .abs_section_16
1019
     _MCCTL                                      66       1       1       1   .abs_section_66
1020
     _MCFLG                                      67       1       1       0   .abs_section_67
1021
     _MEMSIZ0                                    1C       1       1       0   .abs_section_1c
1022
     _MEMSIZ1                                    1D       1       1       0   .abs_section_1d
1023
     _MISC                                       13       1       1       1   .abs_section_13
1024
     _MODE                                        B       1       1       0   .abs_section_b
1025
     _MODRR                                     257       1       1       0   .abs_section_257
1026
     _MTST0                                      14       1       1       0   .abs_section_14
1027
     _MTST1                                      17       1       1       0   .abs_section_17
1028
     _OC7D                                       43       1       1       0   .abs_section_43
1029
     _OC7M                                       42       1       1       0   .abs_section_42
1030
     _PACTL                                      60       1       1       0   .abs_section_60
1031
     _PAFLG                                      61       1       1       0   .abs_section_61
1032
     _PARTIDH                                    1A       1       1       0   .abs_section_1a
1033
     _PARTIDL                                    1B       1       1       0   .abs_section_1b
1034
     _PBCTL                                      70       1       1       0   .abs_section_70
1035
     _PBFLG                                      71       1       1       0   .abs_section_71
1036
     _PEAR                                        A       1       1       0   .abs_section_a
1037
     _PERH                                      264       1       1       0   .abs_section_264
1038
     _PERJ                                      26C       1       1       0   .abs_section_26c
1039
     _PERM                                      254       1       1       0   .abs_section_254
1040
     _PERP                                      25C       1       1       0   .abs_section_25c
1041
     _PERS                                      24C       1       1       0   .abs_section_24c
1042
     _PERT                                      244       1       1       0   .abs_section_244
1043
     _PIEH                                      266       1       1       0   .abs_section_266
1044
     _PIEJ                                      26E       1       1       0   .abs_section_26e
1045
     _PIEP                                      25E       1       1       0   .abs_section_25e
1046
     _PIFH                                      267       1       1       0   .abs_section_267
1047
     _PIFJ                                      26F       1       1       0   .abs_section_26f
1048
     _PIFP                                      25F       1       1       0   .abs_section_25f
1049
     _PLLCTL                                     3A       1       1       3   .abs_section_3a
1050
     _PORTAD0                                    8F       1       1       0   .abs_section_8f
1051
     _PORTAD1                                   12F       1       1       0   .abs_section_12f
1052
     _PORTE                                       8       1       1       0   .abs_section_8
1053
     _PORTK                                      32       1       1       0   .abs_section_32
1054
     _PPAGE                                      30       1       1       0   .abs_section_30
1055
     _PPSH                                      265       1       1       0   .abs_section_265
1056
     _PPSJ                                      26D       1       1       0   .abs_section_26d
1057
     _PPSM                                      255       1       1       0   .abs_section_255
1058
     _PPSP                                      25D       1       1       0   .abs_section_25d
1059
     _PPSS                                      24D       1       1       0   .abs_section_24d
1060
     _PPST                                      245       1       1       0   .abs_section_245
1061
     _PTH                                       260       1       1       0   .abs_section_260
1062
     _PTIH                                      261       1       1       0   .abs_section_261
1063
     _PTIJ                                      269       1       1       0   .abs_section_269
1064
     _PTIM                                      251       1       1       0   .abs_section_251
1065
     _PTIP                                      259       1       1       0   .abs_section_259
1066
     _PTIS                                      249       1       1       0   .abs_section_249
1067
     _PTIT                                      241       1       1       0   .abs_section_241
1068
     _PTJ                                       268       1       1       0   .abs_section_268
1069
     _PTM                                       250       1       1       0   .abs_section_250
1070
     _PTP                                       258       1       1       0   .abs_section_258
1071
     _PTS                                       248       1       1       1   .abs_section_248
1072
     _PTT                                       240       1       1       0   .abs_section_240
1073
     _PUCR                                        C       1       1       0   .abs_section_c
1074
     _PWMCAE                                     A4       1       1       0   .abs_section_a4
1075
     _PWMCLK                                     A2       1       1       0   .abs_section_a2
1076
     _PWMCTL                                     A5       1       1       1   .abs_section_a5
1077
     _PWME                                       A0       1       1       0   .abs_section_a0
1078
     _PWMPOL                                     A1       1       1       0   .abs_section_a1
1079
     _PWMPRCLK                                   A3       1       1       0   .abs_section_a3
1080
     _PWMSCLA                                    A8       1       1       0   .abs_section_a8
1081
     _PWMSCLB                                    A9       1       1       0   .abs_section_a9
1082
     _PWMSDN                                     C4       1       1       1   .abs_section_c4
1083
     _RDRH                                      263       1       1       0   .abs_section_263
1084
     _RDRIV                                       D       1       1       0   .abs_section_d
1085
     _RDRJ                                      26B       1       1       0   .abs_section_26b
1086
     _RDRM                                      253       1       1       0   .abs_section_253
1087
     _RDRP                                      25B       1       1       0   .abs_section_25b
1088
     _RDRS                                      24B       1       1       0   .abs_section_24b
1089
     _RDRT                                      243       1       1       0   .abs_section_243
1090
     _REFDV                                      35       1       1       1   .abs_section_35
1091
     _RTICTL                                     3B       1       1       0   .abs_section_3b
1092
     _SCI0CR1                                    CA       1       1       1   .abs_section_ca
1093
     _SCI0CR2                                    CB       1       1       7   .abs_section_cb
1094
     _SCI0DRH                                    CE       1       1       0   .abs_section_ce
1095
     _SCI0DRL                                    CF       1       1       3   .abs_section_cf
1096
     _SCI0SR1                                    CC       1       1       2   .abs_section_cc
1097
     _SCI0SR2                                    CD       1       1       1   .abs_section_cd
1098
     _SCI1CR1                                    D2       1       1       0   .abs_section_d2
1099
     _SCI1CR2                                    D3       1       1       0   .abs_section_d3
1100
     _SCI1DRH                                    D6       1       1       0   .abs_section_d6
1101
     _SCI1DRL                                    D7       1       1       0   .abs_section_d7
1102
     _SCI1SR1                                    D4       1       1       0   .abs_section_d4
1103
     _SCI1SR2                                    D5       1       1       0   .abs_section_d5
1104
     _SPI0BR                                     DA       1       1       0   .abs_section_da
1105
     _SPI0CR1                                    D8       1       1       0   .abs_section_d8
1106
     _SPI0CR2                                    D9       1       1       0   .abs_section_d9
1107
     _SPI0DR                                     DD       1       1       0   .abs_section_dd
1108
     _SPI0SR                                     DB       1       1       0   .abs_section_db
1109
     _SPI1BR                                     F2       1       1       0   .abs_section_f2
1110
     _SPI1CR1                                    F0       1       1       0   .abs_section_f0
1111
     _SPI1CR2                                    F1       1       1       0   .abs_section_f1
1112
     _SPI1DR                                     F5       1       1       0   .abs_section_f5
1113
     _SPI1SR                                     F3       1       1       0   .abs_section_f3
1114
     _SPI2BR                                     FA       1       1       0   .abs_section_fa
1115
     _SPI2CR1                                    F8       1       1       0   .abs_section_f8
1116
     _SPI2CR2                                    F9       1       1       0   .abs_section_f9
1117
     _SPI2DR                                     FD       1       1       0   .abs_section_fd
1118
     _SPI2SR                                     FB       1       1       0   .abs_section_fb
1119
     _SYNR                                       34       1       1       1   .abs_section_34
1120
     _TCTL1                                      48       1       1       1   .abs_section_48
1121
     _TCTL2                                      49       1       1       1   .abs_section_49
1122
     _TCTL3                                      4A       1       1       0   .abs_section_4a
1123
     _TCTL4                                      4B       1       1       0   .abs_section_4b
1124
     _TFLG1                                      4E       1       1       3   .abs_section_4e
1125
     _TFLG2                                      4F       1       1       0   .abs_section_4f
1126
     _TIE                                        4C       1       1       3   .abs_section_4c
1127
     _TIMTST                                     6D       1       1       0   .abs_section_6d
1128
     _TIOS                                       40       1       1       1   .abs_section_40
1129
     _TSCR1                                      46       1       1       3   .abs_section_46
1130
     _TSCR2                                      4D       1       1       5   .abs_section_4d
1131
     _TTOV                                       47       1       1       1   .abs_section_47
1132
     _WOMM                                      256       1       1       0   .abs_section_256
1133
     _WOMS                                      24E       1       1       0   .abs_section_24e
1134
     _ATD0CTL23                                  82       2       2       0   .abs_section_82
1135
     _ATD0CTL45                                  84       2       2       0   .abs_section_84
1136
     _ATD0DR0                                    90       2       2       0   .abs_section_90
1137
     _ATD0DR1                                    92       2       2       0   .abs_section_92
1138
     _ATD0DR2                                    94       2       2       0   .abs_section_94
1139
     _ATD0DR3                                    96       2       2       0   .abs_section_96
1140
     _ATD0DR4                                    98       2       2       0   .abs_section_98
1141
     _ATD0DR5                                    9A       2       2       0   .abs_section_9a
1142
     _ATD0DR6                                    9C       2       2       0   .abs_section_9c
1143
     _ATD0DR7                                    9E       2       2       0   .abs_section_9e
1144
     _ATD1CTL23                                 122       2       2       0   .abs_section_122
1145
     _ATD1CTL45                                 124       2       2       0   .abs_section_124
1146
     _ATD1DR0                                   130       2       2       0   .abs_section_130
1147
     _ATD1DR1                                   132       2       2       0   .abs_section_132
1148
     _ATD1DR2                                   134       2       2       0   .abs_section_134
1149
     _ATD1DR3                                   136       2       2       0   .abs_section_136
1150
     _ATD1DR4                                   138       2       2       0   .abs_section_138
1151
     _ATD1DR5                                   13A       2       2       0   .abs_section_13a
1152
     _ATD1DR6                                   13C       2       2       0   .abs_section_13c
1153
     _ATD1DR7                                   13E       2       2       0   .abs_section_13e
1154
     _DDRAB                                       2       2       2       1   .abs_section_2
1155
     _MCCNT                                      76       2       2       0   .abs_section_76
1156
     _PA10H                                      74       2       2       0   .abs_section_74
1157
     _PA32H                                      72       2       2       0   .abs_section_72
1158
     _PACN10                                     64       2       2       0   .abs_section_64
1159
     _PACN32                                     62       2       2       0   .abs_section_62
1160
     _PORTAB                                      0       2       2       6   .abs_section_0
1161
     _PWMCNT01                                   AC       2       2       0   .abs_section_ac
1162
     _PWMCNT23                                   AE       2       2       0   .abs_section_ae
1163
     _PWMCNT45                                   B0       2       2       0   .abs_section_b0
1164
     _PWMCNT67                                   B2       2       2       0   .abs_section_b2
1165
     _PWMDTY01                                   BC       2       2       0   .abs_section_bc
1166
     _PWMDTY23                                   BE       2       2       0   .abs_section_be
1167
     _PWMDTY45                                   C0       2       2       0   .abs_section_c0
1168
     _PWMDTY67                                   C2       2       2       0   .abs_section_c2
1169
     _PWMPER01                                   B4       2       2       0   .abs_section_b4
1170
     _PWMPER23                                   B6       2       2       0   .abs_section_b6
1171
     _PWMPER45                                   B8       2       2       0   .abs_section_b8
1172
     _PWMPER67                                   BA       2       2       0   .abs_section_ba
1173
     _SCI0BD                                     C8       2       2       2   .abs_section_c8
1174
     _SCI1BD                                     D0       2       2       0   .abs_section_d0
1175
     _TC0                                        50       2       2       2   .abs_section_50
1176
     _TC0H                                       78       2       2       0   .abs_section_78
1177
     _TC1                                        52       2       2       0   .abs_section_52
1178
     _TC1H                                       7A       2       2       0   .abs_section_7a
1179
     _TC2                                        54       2       2       0   .abs_section_54
1180
     _TC2H                                       7C       2       2       0   .abs_section_7c
1181
     _TC3                                        56       2       2       0   .abs_section_56
1182
     _TC3H                                       7E       2       2       0   .abs_section_7e
1183
     _TC4                                        58       2       2       0   .abs_section_58
1184
     _TC5                                        5A       2       2       0   .abs_section_5a
1185
     _TC6                                        5C       2       2       0   .abs_section_5c
1186
     _TC7                                        5E       2       2       2   .abs_section_5e
1187
     _TCNT                                       44       2       2       0   .abs_section_44
1188
MODULE:                 -- Vectors.c.o --
1189
- PROCEDURES:
1190
- VARIABLES:
1191
     _vect                                     FF80      80     128       0   .abs_section_ff80
1192
MODULE:                 -- RTOSDemo.C.o --
1193
- PROCEDURES:
1194
     main                                    30809A       9       9       0   .text
1195
- VARIABLES:
1196
MODULE:                 -- main.c.o --
1197
- PROCEDURES:
1198
     vMain                                   3080A3      52      82       1   .text
1199
     vErrorChecks                            3080F5      39      57       3   .text
1200
     prvCheckOtherTasksAreStillRunning       30812E      50      80       2   .text
1201
     vApplicationIdleHook                    30817E      70     112       2   .text
1202
- VARIABLES:
1203
     STRING.Check.1                            C09A       6       6       1   .rodata1
1204
     xLocalError                               1001       1       1       2   .bss
1205
MODULE:                 -- ParTest.c.o --
1206
- PROCEDURES:
1207
     vParTestSetLED                          3081EE      23      35       4   .text
1208
     vParTestToggleLED                       308211      14      20      10   .text
1209
- VARIABLES:
1210
MODULE:                 -- serial.c.o --
1211
- PROCEDURES:
1212
     xSerialPortInitMinimal                  308225      26      38       2   .text
1213
     xSerialGetChar                          318000      13      19       4   ROM_PAGE31_524
1214
     xSerialPutChar                          318013      18      24       2   ROM_PAGE31_524
1215
     vCOM0_ISR                                 C300      59      89       1   NON_BANKED
1216
- VARIABLES:
1217
     xRxedChars                                1002       2       2       3   .bss
1218
     xCharsForTx                               1004       2       2       3   .bss
1219
MODULE:                 -- tasks.c.o --
1220
- PROCEDURES:
1221
     xTaskCreate                             31802B      D5     213      48   ROM_PAGE31_524
1222
     vTaskDelete                             318100      4A      74       4   ROM_PAGE31_524
1223
     vTaskDelayUntil                         31814A      77     119       6   ROM_PAGE31_524
1224
     vTaskDelay                              3181C1      46      70      16   ROM_PAGE31_524
1225
     uxTaskPriorityGet                       318207      22      34       2   ROM_PAGE31_524
1226
     vTaskPrioritySet                        328000      69     105       4   ROM_PAGE32_525
1227
     vTaskSuspend                            328069      47      71       6   ROM_PAGE32_525
1228
     vTaskResume                             3280B0      5C      92       6   ROM_PAGE32_525
1229
     vTaskStartScheduler                     32810C      31      49       2   ROM_PAGE32_525
1230
     vTaskSuspendAll                         32813D      13      19      26   ROM_PAGE32_525
1231
     xTaskResumeAll                          328150      9F     159      30   ROM_PAGE32_525
1232
     xTaskGetTickCount                       3281EF      17      23       6   ROM_PAGE32_525
1233
     uxTaskGetNumberOfTasks                  328206      17      23       4   ROM_PAGE32_525
1234
     vTaskIncrementTick                      338000      84     132       4   ROM_PAGE33_526
1235
     vTaskSwitchContext                      338084      5B      91       4   ROM_PAGE33_526
1236
     vTaskPlaceOnEventList                   3380DF      41      65       4   ROM_PAGE33_526
1237
     xTaskRemoveFromEventList                338120      69     105       8   ROM_PAGE33_526
1238
     prvIdleTask                             338189      12      18       3   ROM_PAGE33_526
1239
     prvInitialiseTCBVariables               33819B      4D      77       2   ROM_PAGE33_526
1240
     prvInitialiseTaskLists                  3381E8      3C      60       2   ROM_PAGE33_526
1241
     prvCheckTasksWaitingTermination         348000      53      83       2   ROM_PAGE34_527
1242
     prvAllocateTCBAndStack                  348053      3D      61       2   ROM_PAGE34_527
1243
     prvDeleteTCB                            348090       F      15       2   ROM_PAGE34_527
1244
- VARIABLES:
1245
     STRING.IDLE.2                             C0A0       5       5       1   .rodata1
1246
     pxCurrentTCB                              1006       2       2      28   .bss
1247
     uxTasksDeleted                            1008       1       1       3   .bss
1248
     uxCurrentNumberOfTasks                    1009       1       1       5   .bss
1249
     xTickCount                                100A       2       2      14   .bss
1250
     uxTopUsedPriority                         100C       1       1       2   .bss
1251
     uxTopReadyPriority                        100D       1       1      15   .bss
1252
     xSchedulerRunning                         100E       1       1       3   .bss
1253
     uxSchedulerSuspended                      100F       1       1       6   .bss
1254
     uxMissedTicks                             1010       1       1       4   .bss
1255
     uxTaskNumber.1                            1011       1       1       2   .bss
1256
     pxReadyTasksLists                         1012      3C      60      11   .bss
1257
     xDelayedTaskList1                         104E       F      15       2   .bss
1258
     xDelayedTaskList2                         105D       F      15       2   .bss
1259
     pxDelayedTaskList                         106C       2       2       8   .bss
1260
     pxOverflowDelayedTaskList                 106E       2       2       6   .bss
1261
     xPendingReadyList                         1070       F      15       4   .bss
1262
     xTasksWaitingTermination                  107F       F      15       5   .bss
1263
     xSuspendedTaskList                        108E       F      15       2   .bss
1264
MODULE:                 -- queue.c.o --
1265
- PROCEDURES:
1266
     xQueueCreate                            34809F      7B     123      14   ROM_PAGE34_527
1267
     xQueueSend                              34811A      D4     212       9   ROM_PAGE34_527
1268
     xQueueSendFromISR                       3481EE      5D      93       2   ROM_PAGE34_527
1269
     xQueueReceive                           358000      CF     207       9   ROM_PAGE35_528
1270
     xQueueReceiveFromISR                    3580CF      61      97       2   ROM_PAGE35_528
1271
     uxQueueMessagesWaiting                  358130      1B      27       2   ROM_PAGE35_528
1272
     prvUnlockQueue                          35814B      71     113       8   ROM_PAGE35_528
1273
     prvIsQueueEmpty                         3581BC      22      34       2   ROM_PAGE35_528
1274
     prvIsQueueFull                          3581DE      25      37       2   ROM_PAGE35_528
1275
- VARIABLES:
1276
MODULE:                 -- list.c.o --
1277
- PROCEDURES:
1278
     vListInitialise                         358203      20      32       6   ROM_PAGE35_528
1279
     vListInitialiseItem                     358223       7       7       6   ROM_PAGE35_528
1280
     vListInsertEnd                          35822A      25      37      16   ROM_PAGE35_528
1281
     vListInsert                             368000      55      85       8   ROM_PAGE36_529
1282
     vListRemove                             368055      23      35      32   ROM_PAGE36_529
1283
- VARIABLES:
1284
MODULE:                 -- heap_2.c.o --
1285
- PROCEDURES:
1286
     pvPortMalloc                            368078      C1     193      14   ROM_PAGE36_529
1287
     vPortFree                               368139      3B      59      10   ROM_PAGE36_529
1288
- VARIABLES:
1289
     xHeapHasBeenInitialised.1                 109D       1       1       2   .bss
1290
     xHeap                                     109E    2804   10244       2   .bss
1291
     xStart                                    38A2       4       4       6   .bss
1292
     xEnd                                      38A6       4       4       4   .bss
1293
MODULE:                 -- flash.c.o --
1294
- PROCEDURES:
1295
     vStartLEDFlashTasks                     368174      32      50       2   ROM_PAGE36_529
1296
     vLEDFlashTask                           3681A6      57      87       3   ROM_PAGE36_529
1297
- VARIABLES:
1298
     STRING.LEDx.1                             C0A5       5       5       1   .rodata1
1299
     uxFlashTaskNumber                         38AA       1       1       2   .bss
1300
MODULE:                 -- dynamic.c.o --
1301
- PROCEDURES:
1302
     vStartDynamicPriorityTasks              378000      9B     155       2   ROM_PAGE37_530
1303
     vLimitedIncrementTask                   37809B      27      39       3   ROM_PAGE37_530
1304
     vContinuousIncrementTask                3780C2      38      56       3   ROM_PAGE37_530
1305
     vCounterControlTask                     3780FA      A0     160       5   ROM_PAGE37_530
1306
     vQueueSendWhenSuspendedTask             37819A      38      56       3   ROM_PAGE37_530
1307
     vQueueReceiveWhenSuspendedTask          3781D2      54      84       3   ROM_PAGE37_530
1308
     xAreDynamicPriorityTasksStillRunning     378226      2B      43       2   ROM_PAGE37_530
1309
- VARIABLES:
1310
     STRING.CNT_INC.1                          C0AA       8       8       1   .rodata1
1311
     STRING.LIM_INC.2                          C0B2       8       8       1   .rodata1
1312
     STRING.C_CTRL.3                           C0BA       7       7       1   .rodata1
1313
     STRING.SUSP_TX.4                          C0C1       8       8       1   .rodata1
1314
     STRING.SUSP_RX.5                          C0C9       8       8       1   .rodata1
1315
     usCheckVariable                           38AB       2       2       3   .bss
1316
     xSuspendedQueueSendError                  38AD       1       1       2   .bss
1317
     xSuspendedQueueReceiveError               38AE       1       1       3   .bss
1318
     ulValueToSend.6                           38AF       4       4       5   .bss
1319
     ulExpectedValue.7                         38B3       4       4       6   .bss
1320
     usLastTaskCheck.9                         38B7       2       2       2   .bss
1321
     xContinousIncrementHandle                 38B9       2       2       5   .bss
1322
     xLimitedIncrementHandle                   38BB       2       2       2   .bss
1323
     ulCounter                                 38BD       4       4      10   .bss
1324
     ulReceivedValue.8                         38C1       4       4       3   .bss
1325
     xSuspendedTestQueue                       38EC       2       2       3   .common
1326
MODULE:                 -- PollQ.c.o --
1327
- PROCEDURES:
1328
     vStartPolledQueueTasks                  388000      4B      75       2   ROM_PAGE38_531
1329
     vPolledQueueProducer                    38804B      4F      79       3   ROM_PAGE38_531
1330
     vPolledQueueConsumer                    38809A      5C      92       3   ROM_PAGE38_531
1331
     xArePollingQueuesStillRunning           3880F6      16      22       2   ROM_PAGE38_531
1332
- VARIABLES:
1333
     STRING.QConsNB.2                          C0D1       8       8       1   .rodata1
1334
     STRING.QProdNB.3                          C0D9       8       8       1   .rodata1
1335
     xPollingConsumerCount                     38C5       1       1       3   .bss
1336
     xPollingProducerCount                     38C6       1       1       3   .bss
1337
     xPolledQueue.1                            38C7       2       2       3   .bss
1338
MODULE:                 -- TickTimer.C.o --
1339
- PROCEDURES:
1340
     TickTimer_Enable                        3B8124       9       9       2   TickTimer_CODE
1341
     TickTimer_SetFreqHz                     3B812D      56      86       2   TickTimer_CODE
1342
     TickTimer_Init                          3B8183      1C      28       2   TickTimer_CODE
1343
- VARIABLES:
1344
     CmpHighVal                                38F2       2       2       2   TickTimer_DATA
1345
MODULE:                 -- Byte1.C.o --
1346
- PROCEDURES:
1347
     Byte1_PutBit                            3B819F      21      33       2   Byte1_CODE
1348
     Byte1_NegBit                            3B81C0      14      20       2   Byte1_CODE
1349
- VARIABLES:
1350
     Byte1_Table                               38F4       8       8       2   Byte1_DATA
1351
MODULE:                 -- PE_Timer.C.o --
1352
- PROCEDURES:
1353
     PE_Timer_LngHi1                         38810C      4A      74       2   ROM_PAGE38_531
1354
- VARIABLES:
1355
MODULE:                 -- comtest.c.o --
1356
- PROCEDURES:
1357
     vAltStartComTestTasks                   388156      4D      77       2   ROM_PAGE38_531
1358
     vComTxTask                              3881A3      4F      79       3   ROM_PAGE38_531
1359
     vComRxTask                              398000      7B     123       3   ROM_PAGE39_532
1360
     xAreComTestTasksStillRunning            39807B       D      13       2   ROM_PAGE39_532
1361
- VARIABLES:
1362
     STRING.COMTx.1                            C0E1       6       6       1   .rodata1
1363
     STRING.COMRx.2                            C0E7       6       6       1   .rodata1
1364
     xPort                                     38C9       2       2       3   .bss
1365
     uxBaseLED                                 38CB       1       1       5   .bss
1366
     uxRxLoops                                 38CC       1       1       3   .bss
1367
MODULE:                 -- COM0.C.o --
1368
- PROCEDURES:
1369
     COM0_SetBaudRateMode                    3B81D4      19      25       2   COM0_CODE
1370
     COM0_Init                               3B81ED      25      37       2   COM0_CODE
1371
- VARIABLES:
1372
     COM0_PrescHigh.1                          38FC       8       8       1   COM0_DATA
1373
     SerFlag                                   3904       2       2       1   COM0_DATA
1374
     PrescHigh                                 3906       2       2       2   COM0_DATA
1375
     NumMode                                   3908       1       1       2   COM0_DATA
1376
MODULE:                 -- port.c.o --
1377
- PROCEDURES:
1378
     pxPortInitialiseStack                   398088      31      49       2   ROM_PAGE39_532
1379
     xPortStartScheduler                     3980B9       4       4       2   ROM_PAGE39_532
1380
     xBankedStartScheduler                     C359      1A      26       1   NON_BANKED
1381
     vPortYield                                C373      1D      29       1   NON_BANKED
1382
     vPortTickInterrupt                        C390      25      37       1   NON_BANKED
1383
- VARIABLES:
1384
     uxCriticalNesting                         1000       1       1     101   .data
1385
MODULE:                 -- integer.c.o --
1386
- PROCEDURES:
1387
     vStartIntegerMathTasks                  3980BD      33      51       2   ROM_PAGE39_532
1388
     vCompeteingIntMathTask                  3980F0      87     135       3   ROM_PAGE39_532
1389
     xAreIntegerMathsTaskStillRunning        398177      20      32       2   ROM_PAGE39_532
1390
- VARIABLES:
1391
     STRING.IntMath.1                          C0ED       8       8       1   .rodata1
1392
     xTaskCheck                                38CD       1       1       3   .bss
1393
MODULE:                 -- BlockQ.c.o --
1394
- PROCEDURES:
1395
     vStartBlockingQueueTasks                3A8000     143     323       7   ROM_PAGE3A_533
1396
     vBlockingQueueProducer                  3A8143      3F      63       9   ROM_PAGE3A_533
1397
     vBlockingQueueConsumer                  3A8182      47      71       9   ROM_PAGE3A_533
1398
     xAreBlockingQueuesStillRunning          3A81C9      52      82       2   ROM_PAGE3A_533
1399
- VARIABLES:
1400
     STRING.QConsB1.1                          C0F5       8       8       1   .rodata1
1401
     STRING.QProdB2.2                          C0FD       8       8       1   .rodata1
1402
     STRING.QProdB3.3                          C105       8       8       1   .rodata1
1403
     STRING.QConsB4.4                          C10D       8       8       1   .rodata1
1404
     STRING.QProdB5.5                          C115       8       8       1   .rodata1
1405
     STRING.QConsB6.6                          C11D       8       8       1   .rodata1
1406
     sBlockingConsumerCount                    38CE       6       6       4   .bss
1407
     sBlockingProducerCount                    38D4       6       6       5   .bss
1408
     sLastBlockingConsumerCount.7              38DA       6       6       2   .bss
1409
     sLastBlockingProducerCount.8              38E0       6       6       2   .bss
1410
MODULE:                 -- death.c.o --
1411
- PROCEDURES:
1412
     vCreateSuicidalTasks                    3A821B      31      49       2   ROM_PAGE3A_533
1413
     vSuicidalTask                           3B8000      58      88      12   ROM_PAGE3B_534
1414
     vCreateTasks                            3B8058      92     146       4   ROM_PAGE3B_534
1415
     xIsCreateTaskStillRunning               3B80EA      3A      58       2   ROM_PAGE3B_534
1416
- VARIABLES:
1417
     STRING.CREATOR.1                          C125       8       8       1   .rodata1
1418
     STRING.SUICIDE1.2                         C12D       9       9       1   .rodata1
1419
     STRING.SUICIDE2.3                         C136       9       9       1   .rodata1
1420
     STRING.SUICIDE1.4                         C13F       9       9       1   .rodata1
1421
     STRING.SUICIDE2.5                         C148       9       9       1   .rodata1
1422
     usCreationCount                           38E6       2       2       4   .bss
1423
     uxTasksRunningAtStart                     38E8       1       1       4   .bss
1424
     usLastCreationCount.6                     38E9       2       2       2   .bss
1425
     uxTasksRunningNow.7                       38EB       1       1       1   .bss
1426
     xCreatedTask1                             38EE       2       2       2   .common
1427
     xCreatedTask2                             38F0       2       2       2   .common
1428
 
1429
*********************************************************************************************
1430
MODULE STATISTIC
1431
  Name                                      Data   Code  Const
1432
---------------------------------------------------------------------------------------------
1433
  Start12.c.o                                  0     59      0
1434
  STRING.C.o (ansibi.lib)                      0    113      0
1435
  rtshc12.c.o (ansibi.lib)                     0    412      0
1436
  Cpu.C.o                                      0    125      0
1437
  IO_Map.C.o                                 577      0      0
1438
  Vectors.c.o                                  0      0    128
1439
  RTOSDemo.C.o                                 0      9      0
1440
  main.c.o                                     1    331      6
1441
  ParTest.c.o                                  0     55      0
1442
  serial.c.o                                   4    170      0
1443
  tasks.c.o                                  151   1758      5
1444
  queue.c.o                                    0    943      0
1445
  list.c.o                                     0    196      0
1446
  heap_2.c.o                               10253    252      0
1447
  flash.c.o                                    1    137      5
1448
  dynamic.c.o                                 28    593     39
1449
  PollQ.c.o                                    4    268     16
1450
  TickTimer.C.o                                2    123      0
1451
  Byte1.C.o                                    8     53      0
1452
  PE_Timer.C.o                                 0     74      0
1453
  comtest.c.o                                  4    292     12
1454
  COM0.C.o                                    13     62      0
1455
  port.c.o                                     1    145      0
1456
  integer.c.o                                  1    218      8
1457
  BlockQ.c.o                                  24    539     48
1458
  death.c.o                                   10    341     44
1459
  other                                      128     30     27
1460
 
1461
*********************************************************************************************
1462
SECTION USE IN OBJECT-ALLOCATION SECTION
1463
---------------------------------------------------------------------------------------------
1464
SECTION: ".text"
1465
  Init memcpy memset strncpy main vMain vErrorChecks
1466
  prvCheckOtherTasksAreStillRunning vApplicationIdleHook vParTestSetLED
1467
  vParTestToggleLED xSerialPortInitMinimal
1468
SECTION: ".data"
1469
  uxCriticalNesting
1470
SECTION: ".bss"
1471
  xLocalError xRxedChars xCharsForTx pxCurrentTCB uxTasksDeleted
1472
  uxCurrentNumberOfTasks xTickCount uxTopUsedPriority uxTopReadyPriority
1473
  xSchedulerRunning uxSchedulerSuspended uxMissedTicks uxTaskNumber.1
1474
  pxReadyTasksLists xDelayedTaskList1 xDelayedTaskList2 pxDelayedTaskList
1475
  pxOverflowDelayedTaskList xPendingReadyList xTasksWaitingTermination
1476
  xSuspendedTaskList xHeapHasBeenInitialised.1 xHeap xStart xEnd
1477
  uxFlashTaskNumber usCheckVariable xSuspendedQueueSendError
1478
  xSuspendedQueueReceiveError ulValueToSend.6 ulExpectedValue.7
1479
  usLastTaskCheck.9 xContinousIncrementHandle xLimitedIncrementHandle ulCounter
1480
  ulReceivedValue.8 xPollingConsumerCount xPollingProducerCount xPolledQueue.1
1481
  xPort uxBaseLED uxRxLoops xTaskCheck sBlockingConsumerCount
1482
  sBlockingProducerCount sLastBlockingConsumerCount.7
1483
  sLastBlockingProducerCount.8 usCreationCount uxTasksRunningAtStart
1484
  usLastCreationCount.6 uxTasksRunningNow.7
1485
SECTION: ".init"
1486
  _EntryPoint PE_low_level_init
1487
SECTION: ".rodata1"
1488
  STRING.Check.1 STRING.IDLE.2 STRING.LEDx.1 STRING.CNT_INC.1 STRING.LIM_INC.2
1489
  STRING.C_CTRL.3 STRING.SUSP_TX.4 STRING.SUSP_RX.5 STRING.QConsNB.2
1490
  STRING.QProdNB.3 STRING.COMTx.1 STRING.COMRx.2 STRING.IntMath.1
1491
  STRING.QConsB1.1 STRING.QProdB2.2 STRING.QProdB3.3 STRING.QConsB4.4
1492
  STRING.QProdB5.5 STRING.QConsB6.6 STRING.CREATOR.1 STRING.SUICIDE1.2
1493
  STRING.SUICIDE2.3 STRING.SUICIDE1.4 STRING.SUICIDE2.5
1494
SECTION: "NON_BANKED"
1495
  _Startup _LCMP _LCMP_P _LNEG _LINC _LMUL _lDivMod _LDIVU _NEG_P _LDIVS
1496
  Cpu_Interrupt vCOM0_ISR xBankedStartScheduler vPortYield vPortTickInterrupt
1497
SECTION: ".common"
1498
  xSuspendedTestQueue xCreatedTask1 xCreatedTask2
1499
SECTION: "TickTimer_CODE"
1500
  TickTimer_Enable TickTimer_SetFreqHz TickTimer_Init
1501
SECTION: "Byte1_CODE"
1502
  Byte1_PutBit Byte1_NegBit
1503
SECTION: "COM0_CODE"
1504
  COM0_SetBaudRateMode COM0_Init
1505
SECTION: ".abs_section_3f"
1506
  _ARMCOP
1507
SECTION: ".abs_section_8d"
1508
  _ATD0DIEN
1509
SECTION: ".abs_section_86"
1510
  _ATD0STAT0
1511
SECTION: ".abs_section_8b"
1512
  _ATD0STAT1
1513
SECTION: ".abs_section_12d"
1514
  _ATD1DIEN
1515
SECTION: ".abs_section_126"
1516
  _ATD1STAT0
1517
SECTION: ".abs_section_12b"
1518
  _ATD1STAT1
1519
SECTION: ".abs_section_ff06"
1520
  _BDMCCR
1521
SECTION: ".abs_section_ff07"
1522
  _BDMINR
1523
SECTION: ".abs_section_ff01"
1524
  _BDMSTS
1525
SECTION: ".abs_section_2b"
1526
  _BKP0H
1527
SECTION: ".abs_section_2c"
1528
  _BKP0L
1529
SECTION: ".abs_section_2a"
1530
  _BKP0X
1531
SECTION: ".abs_section_2e"
1532
  _BKP1H
1533
SECTION: ".abs_section_2f"
1534
  _BKP1L
1535
SECTION: ".abs_section_2d"
1536
  _BKP1X
1537
SECTION: ".abs_section_28"
1538
  _BKPCT0
1539
SECTION: ".abs_section_29"
1540
  _BKPCT1
1541
SECTION: ".abs_section_142"
1542
  _CAN0BTR0
1543
SECTION: ".abs_section_143"
1544
  _CAN0BTR1
1545
SECTION: ".abs_section_140"
1546
  _CAN0CTL0
1547
SECTION: ".abs_section_141"
1548
  _CAN0CTL1
1549
SECTION: ".abs_section_14b"
1550
  _CAN0IDAC
1551
SECTION: ".abs_section_150"
1552
  _CAN0IDAR0
1553
SECTION: ".abs_section_151"
1554
  _CAN0IDAR1
1555
SECTION: ".abs_section_152"
1556
  _CAN0IDAR2
1557
SECTION: ".abs_section_153"
1558
  _CAN0IDAR3
1559
SECTION: ".abs_section_158"
1560
  _CAN0IDAR4
1561
SECTION: ".abs_section_159"
1562
  _CAN0IDAR5
1563
SECTION: ".abs_section_15a"
1564
  _CAN0IDAR6
1565
SECTION: ".abs_section_15b"
1566
  _CAN0IDAR7
1567
SECTION: ".abs_section_154"
1568
  _CAN0IDMR0
1569
SECTION: ".abs_section_155"
1570
  _CAN0IDMR1
1571
SECTION: ".abs_section_156"
1572
  _CAN0IDMR2
1573
SECTION: ".abs_section_157"
1574
  _CAN0IDMR3
1575
SECTION: ".abs_section_15c"
1576
  _CAN0IDMR4
1577
SECTION: ".abs_section_15d"
1578
  _CAN0IDMR5
1579
SECTION: ".abs_section_15e"
1580
  _CAN0IDMR6
1581
SECTION: ".abs_section_15f"
1582
  _CAN0IDMR7
1583
SECTION: ".abs_section_144"
1584
  _CAN0RFLG
1585
SECTION: ".abs_section_145"
1586
  _CAN0RIER
1587
SECTION: ".abs_section_16c"
1588
  _CAN0RXDLR
1589
SECTION: ".abs_section_164"
1590
  _CAN0RXDSR0
1591
SECTION: ".abs_section_165"
1592
  _CAN0RXDSR1
1593
SECTION: ".abs_section_166"
1594
  _CAN0RXDSR2
1595
SECTION: ".abs_section_167"
1596
  _CAN0RXDSR3
1597
SECTION: ".abs_section_168"
1598
  _CAN0RXDSR4
1599
SECTION: ".abs_section_169"
1600
  _CAN0RXDSR5
1601
SECTION: ".abs_section_16a"
1602
  _CAN0RXDSR6
1603
SECTION: ".abs_section_16b"
1604
  _CAN0RXDSR7
1605
SECTION: ".abs_section_14e"
1606
  _CAN0RXERR
1607
SECTION: ".abs_section_160"
1608
  _CAN0RXIDR0
1609
SECTION: ".abs_section_161"
1610
  _CAN0RXIDR1
1611
SECTION: ".abs_section_162"
1612
  _CAN0RXIDR2
1613
SECTION: ".abs_section_163"
1614
  _CAN0RXIDR3
1615
SECTION: ".abs_section_149"
1616
  _CAN0TAAK
1617
SECTION: ".abs_section_148"
1618
  _CAN0TARQ
1619
SECTION: ".abs_section_14a"
1620
  _CAN0TBSEL
1621
SECTION: ".abs_section_146"
1622
  _CAN0TFLG
1623
SECTION: ".abs_section_147"
1624
  _CAN0TIER
1625
SECTION: ".abs_section_17c"
1626
  _CAN0TXDLR
1627
SECTION: ".abs_section_174"
1628
  _CAN0TXDSR0
1629
SECTION: ".abs_section_175"
1630
  _CAN0TXDSR1
1631
SECTION: ".abs_section_176"
1632
  _CAN0TXDSR2
1633
SECTION: ".abs_section_177"
1634
  _CAN0TXDSR3
1635
SECTION: ".abs_section_178"
1636
  _CAN0TXDSR4
1637
SECTION: ".abs_section_179"
1638
  _CAN0TXDSR5
1639
SECTION: ".abs_section_17a"
1640
  _CAN0TXDSR6
1641
SECTION: ".abs_section_17b"
1642
  _CAN0TXDSR7
1643
SECTION: ".abs_section_14f"
1644
  _CAN0TXERR
1645
SECTION: ".abs_section_170"
1646
  _CAN0TXIDR0
1647
SECTION: ".abs_section_171"
1648
  _CAN0TXIDR1
1649
SECTION: ".abs_section_172"
1650
  _CAN0TXIDR2
1651
SECTION: ".abs_section_173"
1652
  _CAN0TXIDR3
1653
SECTION: ".abs_section_17f"
1654
  _CAN0TXTBPR
1655
SECTION: ".abs_section_182"
1656
  _CAN1BTR0
1657
SECTION: ".abs_section_183"
1658
  _CAN1BTR1
1659
SECTION: ".abs_section_180"
1660
  _CAN1CTL0
1661
SECTION: ".abs_section_181"
1662
  _CAN1CTL1
1663
SECTION: ".abs_section_18b"
1664
  _CAN1IDAC
1665
SECTION: ".abs_section_190"
1666
  _CAN1IDAR0
1667
SECTION: ".abs_section_191"
1668
  _CAN1IDAR1
1669
SECTION: ".abs_section_192"
1670
  _CAN1IDAR2
1671
SECTION: ".abs_section_193"
1672
  _CAN1IDAR3
1673
SECTION: ".abs_section_198"
1674
  _CAN1IDAR4
1675
SECTION: ".abs_section_199"
1676
  _CAN1IDAR5
1677
SECTION: ".abs_section_19a"
1678
  _CAN1IDAR6
1679
SECTION: ".abs_section_19b"
1680
  _CAN1IDAR7
1681
SECTION: ".abs_section_194"
1682
  _CAN1IDMR0
1683
SECTION: ".abs_section_195"
1684
  _CAN1IDMR1
1685
SECTION: ".abs_section_196"
1686
  _CAN1IDMR2
1687
SECTION: ".abs_section_197"
1688
  _CAN1IDMR3
1689
SECTION: ".abs_section_19c"
1690
  _CAN1IDMR4
1691
SECTION: ".abs_section_19d"
1692
  _CAN1IDMR5
1693
SECTION: ".abs_section_19e"
1694
  _CAN1IDMR6
1695
SECTION: ".abs_section_19f"
1696
  _CAN1IDMR7
1697
SECTION: ".abs_section_184"
1698
  _CAN1RFLG
1699
SECTION: ".abs_section_185"
1700
  _CAN1RIER
1701
SECTION: ".abs_section_1ac"
1702
  _CAN1RXDLR
1703
SECTION: ".abs_section_1a4"
1704
  _CAN1RXDSR0
1705
SECTION: ".abs_section_1a5"
1706
  _CAN1RXDSR1
1707
SECTION: ".abs_section_1a6"
1708
  _CAN1RXDSR2
1709
SECTION: ".abs_section_1a7"
1710
  _CAN1RXDSR3
1711
SECTION: ".abs_section_1a8"
1712
  _CAN1RXDSR4
1713
SECTION: ".abs_section_1a9"
1714
  _CAN1RXDSR5
1715
SECTION: ".abs_section_1aa"
1716
  _CAN1RXDSR6
1717
SECTION: ".abs_section_1ab"
1718
  _CAN1RXDSR7
1719
SECTION: ".abs_section_18e"
1720
  _CAN1RXERR
1721
SECTION: ".abs_section_1a0"
1722
  _CAN1RXIDR0
1723
SECTION: ".abs_section_1a1"
1724
  _CAN1RXIDR1
1725
SECTION: ".abs_section_1a2"
1726
  _CAN1RXIDR2
1727
SECTION: ".abs_section_1a3"
1728
  _CAN1RXIDR3
1729
SECTION: ".abs_section_189"
1730
  _CAN1TAAK
1731
SECTION: ".abs_section_188"
1732
  _CAN1TARQ
1733
SECTION: ".abs_section_18a"
1734
  _CAN1TBSEL
1735
SECTION: ".abs_section_186"
1736
  _CAN1TFLG
1737
SECTION: ".abs_section_187"
1738
  _CAN1TIER
1739
SECTION: ".abs_section_1bc"
1740
  _CAN1TXDLR
1741
SECTION: ".abs_section_1b4"
1742
  _CAN1TXDSR0
1743
SECTION: ".abs_section_1b5"
1744
  _CAN1TXDSR1
1745
SECTION: ".abs_section_1b6"
1746
  _CAN1TXDSR2
1747
SECTION: ".abs_section_1b7"
1748
  _CAN1TXDSR3
1749
SECTION: ".abs_section_1b8"
1750
  _CAN1TXDSR4
1751
SECTION: ".abs_section_1b9"
1752
  _CAN1TXDSR5
1753
SECTION: ".abs_section_1ba"
1754
  _CAN1TXDSR6
1755
SECTION: ".abs_section_1bb"
1756
  _CAN1TXDSR7
1757
SECTION: ".abs_section_18f"
1758
  _CAN1TXERR
1759
SECTION: ".abs_section_1b0"
1760
  _CAN1TXIDR0
1761
SECTION: ".abs_section_1b1"
1762
  _CAN1TXIDR1
1763
SECTION: ".abs_section_1b2"
1764
  _CAN1TXIDR2
1765
SECTION: ".abs_section_1b3"
1766
  _CAN1TXIDR3
1767
SECTION: ".abs_section_1bf"
1768
  _CAN1TXTBPR
1769
SECTION: ".abs_section_1c2"
1770
  _CAN2BTR0
1771
SECTION: ".abs_section_1c3"
1772
  _CAN2BTR1
1773
SECTION: ".abs_section_1c0"
1774
  _CAN2CTL0
1775
SECTION: ".abs_section_1c1"
1776
  _CAN2CTL1
1777
SECTION: ".abs_section_1cb"
1778
  _CAN2IDAC
1779
SECTION: ".abs_section_1d0"
1780
  _CAN2IDAR0
1781
SECTION: ".abs_section_1d1"
1782
  _CAN2IDAR1
1783
SECTION: ".abs_section_1d2"
1784
  _CAN2IDAR2
1785
SECTION: ".abs_section_1d3"
1786
  _CAN2IDAR3
1787
SECTION: ".abs_section_1d8"
1788
  _CAN2IDAR4
1789
SECTION: ".abs_section_1d9"
1790
  _CAN2IDAR5
1791
SECTION: ".abs_section_1da"
1792
  _CAN2IDAR6
1793
SECTION: ".abs_section_1db"
1794
  _CAN2IDAR7
1795
SECTION: ".abs_section_1d4"
1796
  _CAN2IDMR0
1797
SECTION: ".abs_section_1d5"
1798
  _CAN2IDMR1
1799
SECTION: ".abs_section_1d6"
1800
  _CAN2IDMR2
1801
SECTION: ".abs_section_1d7"
1802
  _CAN2IDMR3
1803
SECTION: ".abs_section_1dc"
1804
  _CAN2IDMR4
1805
SECTION: ".abs_section_1dd"
1806
  _CAN2IDMR5
1807
SECTION: ".abs_section_1de"
1808
  _CAN2IDMR6
1809
SECTION: ".abs_section_1df"
1810
  _CAN2IDMR7
1811
SECTION: ".abs_section_1c4"
1812
  _CAN2RFLG
1813
SECTION: ".abs_section_1c5"
1814
  _CAN2RIER
1815
SECTION: ".abs_section_1ec"
1816
  _CAN2RXDLR
1817
SECTION: ".abs_section_1e4"
1818
  _CAN2RXDSR0
1819
SECTION: ".abs_section_1e5"
1820
  _CAN2RXDSR1
1821
SECTION: ".abs_section_1e6"
1822
  _CAN2RXDSR2
1823
SECTION: ".abs_section_1e7"
1824
  _CAN2RXDSR3
1825
SECTION: ".abs_section_1e8"
1826
  _CAN2RXDSR4
1827
SECTION: ".abs_section_1e9"
1828
  _CAN2RXDSR5
1829
SECTION: ".abs_section_1ea"
1830
  _CAN2RXDSR6
1831
SECTION: ".abs_section_1eb"
1832
  _CAN2RXDSR7
1833
SECTION: ".abs_section_1ce"
1834
  _CAN2RXERR
1835
SECTION: ".abs_section_1e0"
1836
  _CAN2RXIDR0
1837
SECTION: ".abs_section_1e1"
1838
  _CAN2RXIDR1
1839
SECTION: ".abs_section_1e2"
1840
  _CAN2RXIDR2
1841
SECTION: ".abs_section_1e3"
1842
  _CAN2RXIDR3
1843
SECTION: ".abs_section_1c9"
1844
  _CAN2TAAK
1845
SECTION: ".abs_section_1c8"
1846
  _CAN2TARQ
1847
SECTION: ".abs_section_1ca"
1848
  _CAN2TBSEL
1849
SECTION: ".abs_section_1c6"
1850
  _CAN2TFLG
1851
SECTION: ".abs_section_1c7"
1852
  _CAN2TIER
1853
SECTION: ".abs_section_1fc"
1854
  _CAN2TXDLR
1855
SECTION: ".abs_section_1f4"
1856
  _CAN2TXDSR0
1857
SECTION: ".abs_section_1f5"
1858
  _CAN2TXDSR1
1859
SECTION: ".abs_section_1f6"
1860
  _CAN2TXDSR2
1861
SECTION: ".abs_section_1f7"
1862
  _CAN2TXDSR3
1863
SECTION: ".abs_section_1f8"
1864
  _CAN2TXDSR4
1865
SECTION: ".abs_section_1f9"
1866
  _CAN2TXDSR5
1867
SECTION: ".abs_section_1fa"
1868
  _CAN2TXDSR6
1869
SECTION: ".abs_section_1fb"
1870
  _CAN2TXDSR7
1871
SECTION: ".abs_section_1cf"
1872
  _CAN2TXERR
1873
SECTION: ".abs_section_1f0"
1874
  _CAN2TXIDR0
1875
SECTION: ".abs_section_1f1"
1876
  _CAN2TXIDR1
1877
SECTION: ".abs_section_1f2"
1878
  _CAN2TXIDR2
1879
SECTION: ".abs_section_1f3"
1880
  _CAN2TXIDR3
1881
SECTION: ".abs_section_1ff"
1882
  _CAN2TXTBPR
1883
SECTION: ".abs_section_202"
1884
  _CAN3BTR0
1885
SECTION: ".abs_section_203"
1886
  _CAN3BTR1
1887
SECTION: ".abs_section_200"
1888
  _CAN3CTL0
1889
SECTION: ".abs_section_201"
1890
  _CAN3CTL1
1891
SECTION: ".abs_section_20b"
1892
  _CAN3IDAC
1893
SECTION: ".abs_section_210"
1894
  _CAN3IDAR0
1895
SECTION: ".abs_section_211"
1896
  _CAN3IDAR1
1897
SECTION: ".abs_section_212"
1898
  _CAN3IDAR2
1899
SECTION: ".abs_section_213"
1900
  _CAN3IDAR3
1901
SECTION: ".abs_section_218"
1902
  _CAN3IDAR4
1903
SECTION: ".abs_section_219"
1904
  _CAN3IDAR5
1905
SECTION: ".abs_section_21a"
1906
  _CAN3IDAR6
1907
SECTION: ".abs_section_21b"
1908
  _CAN3IDAR7
1909
SECTION: ".abs_section_214"
1910
  _CAN3IDMR0
1911
SECTION: ".abs_section_215"
1912
  _CAN3IDMR1
1913
SECTION: ".abs_section_216"
1914
  _CAN3IDMR2
1915
SECTION: ".abs_section_217"
1916
  _CAN3IDMR3
1917
SECTION: ".abs_section_21c"
1918
  _CAN3IDMR4
1919
SECTION: ".abs_section_21d"
1920
  _CAN3IDMR5
1921
SECTION: ".abs_section_21e"
1922
  _CAN3IDMR6
1923
SECTION: ".abs_section_21f"
1924
  _CAN3IDMR7
1925
SECTION: ".abs_section_204"
1926
  _CAN3RFLG
1927
SECTION: ".abs_section_205"
1928
  _CAN3RIER
1929
SECTION: ".abs_section_22c"
1930
  _CAN3RXDLR
1931
SECTION: ".abs_section_224"
1932
  _CAN3RXDSR0
1933
SECTION: ".abs_section_225"
1934
  _CAN3RXDSR1
1935
SECTION: ".abs_section_226"
1936
  _CAN3RXDSR2
1937
SECTION: ".abs_section_227"
1938
  _CAN3RXDSR3
1939
SECTION: ".abs_section_228"
1940
  _CAN3RXDSR4
1941
SECTION: ".abs_section_229"
1942
  _CAN3RXDSR5
1943
SECTION: ".abs_section_22a"
1944
  _CAN3RXDSR6
1945
SECTION: ".abs_section_22b"
1946
  _CAN3RXDSR7
1947
SECTION: ".abs_section_20e"
1948
  _CAN3RXERR
1949
SECTION: ".abs_section_220"
1950
  _CAN3RXIDR0
1951
SECTION: ".abs_section_221"
1952
  _CAN3RXIDR1
1953
SECTION: ".abs_section_222"
1954
  _CAN3RXIDR2
1955
SECTION: ".abs_section_223"
1956
  _CAN3RXIDR3
1957
SECTION: ".abs_section_209"
1958
  _CAN3TAAK
1959
SECTION: ".abs_section_208"
1960
  _CAN3TARQ
1961
SECTION: ".abs_section_20a"
1962
  _CAN3TBSEL
1963
SECTION: ".abs_section_206"
1964
  _CAN3TFLG
1965
SECTION: ".abs_section_207"
1966
  _CAN3TIER
1967
SECTION: ".abs_section_23c"
1968
  _CAN3TXDLR
1969
SECTION: ".abs_section_234"
1970
  _CAN3TXDSR0
1971
SECTION: ".abs_section_235"
1972
  _CAN3TXDSR1
1973
SECTION: ".abs_section_236"
1974
  _CAN3TXDSR2
1975
SECTION: ".abs_section_237"
1976
  _CAN3TXDSR3
1977
SECTION: ".abs_section_238"
1978
  _CAN3TXDSR4
1979
SECTION: ".abs_section_239"
1980
  _CAN3TXDSR5
1981
SECTION: ".abs_section_23a"
1982
  _CAN3TXDSR6
1983
SECTION: ".abs_section_23b"
1984
  _CAN3TXDSR7
1985
SECTION: ".abs_section_20f"
1986
  _CAN3TXERR
1987
SECTION: ".abs_section_230"
1988
  _CAN3TXIDR0
1989
SECTION: ".abs_section_231"
1990
  _CAN3TXIDR1
1991
SECTION: ".abs_section_232"
1992
  _CAN3TXIDR2
1993
SECTION: ".abs_section_233"
1994
  _CAN3TXIDR3
1995
SECTION: ".abs_section_23f"
1996
  _CAN3TXTBPR
1997
SECTION: ".abs_section_282"
1998
  _CAN4BTR0
1999
SECTION: ".abs_section_283"
2000
  _CAN4BTR1
2001
SECTION: ".abs_section_280"
2002
  _CAN4CTL0
2003
SECTION: ".abs_section_281"
2004
  _CAN4CTL1
2005
SECTION: ".abs_section_28b"
2006
  _CAN4IDAC
2007
SECTION: ".abs_section_290"
2008
  _CAN4IDAR0
2009
SECTION: ".abs_section_291"
2010
  _CAN4IDAR1
2011
SECTION: ".abs_section_292"
2012
  _CAN4IDAR2
2013
SECTION: ".abs_section_293"
2014
  _CAN4IDAR3
2015
SECTION: ".abs_section_298"
2016
  _CAN4IDAR4
2017
SECTION: ".abs_section_299"
2018
  _CAN4IDAR5
2019
SECTION: ".abs_section_29a"
2020
  _CAN4IDAR6
2021
SECTION: ".abs_section_29b"
2022
  _CAN4IDAR7
2023
SECTION: ".abs_section_294"
2024
  _CAN4IDMR0
2025
SECTION: ".abs_section_295"
2026
  _CAN4IDMR1
2027
SECTION: ".abs_section_296"
2028
  _CAN4IDMR2
2029
SECTION: ".abs_section_297"
2030
  _CAN4IDMR3
2031
SECTION: ".abs_section_29c"
2032
  _CAN4IDMR4
2033
SECTION: ".abs_section_29d"
2034
  _CAN4IDMR5
2035
SECTION: ".abs_section_29e"
2036
  _CAN4IDMR6
2037
SECTION: ".abs_section_29f"
2038
  _CAN4IDMR7
2039
SECTION: ".abs_section_284"
2040
  _CAN4RFLG
2041
SECTION: ".abs_section_285"
2042
  _CAN4RIER
2043
SECTION: ".abs_section_2ac"
2044
  _CAN4RXDLR
2045
SECTION: ".abs_section_2a4"
2046
  _CAN4RXDSR0
2047
SECTION: ".abs_section_2a5"
2048
  _CAN4RXDSR1
2049
SECTION: ".abs_section_2a6"
2050
  _CAN4RXDSR2
2051
SECTION: ".abs_section_2a7"
2052
  _CAN4RXDSR3
2053
SECTION: ".abs_section_2a8"
2054
  _CAN4RXDSR4
2055
SECTION: ".abs_section_2a9"
2056
  _CAN4RXDSR5
2057
SECTION: ".abs_section_2aa"
2058
  _CAN4RXDSR6
2059
SECTION: ".abs_section_2ab"
2060
  _CAN4RXDSR7
2061
SECTION: ".abs_section_28e"
2062
  _CAN4RXERR
2063
SECTION: ".abs_section_2a0"
2064
  _CAN4RXIDR0
2065
SECTION: ".abs_section_2a1"
2066
  _CAN4RXIDR1
2067
SECTION: ".abs_section_2a2"
2068
  _CAN4RXIDR2
2069
SECTION: ".abs_section_2a3"
2070
  _CAN4RXIDR3
2071
SECTION: ".abs_section_289"
2072
  _CAN4TAAK
2073
SECTION: ".abs_section_288"
2074
  _CAN4TARQ
2075
SECTION: ".abs_section_28a"
2076
  _CAN4TBSEL
2077
SECTION: ".abs_section_286"
2078
  _CAN4TFLG
2079
SECTION: ".abs_section_287"
2080
  _CAN4TIER
2081
SECTION: ".abs_section_2bc"
2082
  _CAN4TXDLR
2083
SECTION: ".abs_section_2b4"
2084
  _CAN4TXDSR0
2085
SECTION: ".abs_section_2b5"
2086
  _CAN4TXDSR1
2087
SECTION: ".abs_section_2b6"
2088
  _CAN4TXDSR2
2089
SECTION: ".abs_section_2b7"
2090
  _CAN4TXDSR3
2091
SECTION: ".abs_section_2b8"
2092
  _CAN4TXDSR4
2093
SECTION: ".abs_section_2b9"
2094
  _CAN4TXDSR5
2095
SECTION: ".abs_section_2ba"
2096
  _CAN4TXDSR6
2097
SECTION: ".abs_section_2bb"
2098
  _CAN4TXDSR7
2099
SECTION: ".abs_section_28f"
2100
  _CAN4TXERR
2101
SECTION: ".abs_section_2b0"
2102
  _CAN4TXIDR0
2103
SECTION: ".abs_section_2b1"
2104
  _CAN4TXIDR1
2105
SECTION: ".abs_section_2b2"
2106
  _CAN4TXIDR2
2107
SECTION: ".abs_section_2b3"
2108
  _CAN4TXIDR3
2109
SECTION: ".abs_section_2bf"
2110
  _CAN4TXTBPR
2111
SECTION: ".abs_section_41"
2112
  _CFORC
2113
SECTION: ".abs_section_39"
2114
  _CLKSEL
2115
SECTION: ".abs_section_3c"
2116
  _COPCTL
2117
SECTION: ".abs_section_37"
2118
  _CRGFLG
2119
SECTION: ".abs_section_38"
2120
  _CRGINT
2121
SECTION: ".abs_section_3e"
2122
  _CTCTL
2123
SECTION: ".abs_section_36"
2124
  _CTFLG
2125
SECTION: ".abs_section_9"
2126
  _DDRE
2127
SECTION: ".abs_section_262"
2128
  _DDRH
2129
SECTION: ".abs_section_26a"
2130
  _DDRJ
2131
SECTION: ".abs_section_33"
2132
  _DDRK
2133
SECTION: ".abs_section_252"
2134
  _DDRM
2135
SECTION: ".abs_section_25a"
2136
  _DDRP
2137
SECTION: ".abs_section_24a"
2138
  _DDRS
2139
SECTION: ".abs_section_242"
2140
  _DDRT
2141
SECTION: ".abs_section_ec"
2142
  _DLCBARD
2143
SECTION: ".abs_section_e8"
2144
  _DLCBCR1
2145
SECTION: ".abs_section_ea"
2146
  _DLCBCR2
2147
SECTION: ".abs_section_eb"
2148
  _DLCBDR
2149
SECTION: ".abs_section_ed"
2150
  _DLCBRSR
2151
SECTION: ".abs_section_e9"
2152
  _DLCBSVR
2153
SECTION: ".abs_section_ee"
2154
  _DLCSCR
2155
SECTION: ".abs_section_69"
2156
  _DLYCT
2157
SECTION: ".abs_section_e"
2158
  _EBICTL
2159
SECTION: ".abs_section_110"
2160
  _ECLKDIV
2161
SECTION: ".abs_section_116"
2162
  _ECMD
2163
SECTION: ".abs_section_113"
2164
  _ECNFG
2165
SECTION: ".abs_section_114"
2166
  _EPROT
2167
SECTION: ".abs_section_115"
2168
  _ESTAT
2169
SECTION: ".abs_section_100"
2170
  _FCLKDIV
2171
SECTION: ".abs_section_106"
2172
  _FCMD
2173
SECTION: ".abs_section_103"
2174
  _FCNFG
2175
SECTION: ".abs_section_3d"
2176
  _FORBYP
2177
SECTION: ".abs_section_104"
2178
  _FPROT
2179
SECTION: ".abs_section_101"
2180
  _FSEC
2181
SECTION: ".abs_section_105"
2182
  _FSTAT
2183
SECTION: ".abs_section_1f"
2184
  _HPRIO
2185
SECTION: ".abs_section_e0"
2186
  _IBAD
2187
SECTION: ".abs_section_e2"
2188
  _IBCR
2189
SECTION: ".abs_section_e4"
2190
  _IBDR
2191
SECTION: ".abs_section_e1"
2192
  _IBFD
2193
SECTION: ".abs_section_e3"
2194
  _IBSR
2195
SECTION: ".abs_section_6a"
2196
  _ICOVW
2197
SECTION: ".abs_section_68"
2198
  _ICPAR
2199
SECTION: ".abs_section_6b"
2200
  _ICSYS
2201
SECTION: ".abs_section_12"
2202
  _INITEE
2203
SECTION: ".abs_section_11"
2204
  _INITRG
2205
SECTION: ".abs_section_10"
2206
  _INITRM
2207
SECTION: ".abs_section_1e"
2208
  _INTCR
2209
SECTION: ".abs_section_15"
2210
  _ITCR
2211
SECTION: ".abs_section_16"
2212
  _ITEST
2213
SECTION: ".abs_section_66"
2214
  _MCCTL
2215
SECTION: ".abs_section_67"
2216
  _MCFLG
2217
SECTION: ".abs_section_1c"
2218
  _MEMSIZ0
2219
SECTION: ".abs_section_1d"
2220
  _MEMSIZ1
2221
SECTION: ".abs_section_13"
2222
  _MISC
2223
SECTION: ".abs_section_b"
2224
  _MODE
2225
SECTION: ".abs_section_257"
2226
  _MODRR
2227
SECTION: ".abs_section_14"
2228
  _MTST0
2229
SECTION: ".abs_section_17"
2230
  _MTST1
2231
SECTION: ".abs_section_43"
2232
  _OC7D
2233
SECTION: ".abs_section_42"
2234
  _OC7M
2235
SECTION: ".abs_section_60"
2236
  _PACTL
2237
SECTION: ".abs_section_61"
2238
  _PAFLG
2239
SECTION: ".abs_section_1a"
2240
  _PARTIDH
2241
SECTION: ".abs_section_1b"
2242
  _PARTIDL
2243
SECTION: ".abs_section_70"
2244
  _PBCTL
2245
SECTION: ".abs_section_71"
2246
  _PBFLG
2247
SECTION: ".abs_section_a"
2248
  _PEAR
2249
SECTION: ".abs_section_264"
2250
  _PERH
2251
SECTION: ".abs_section_26c"
2252
  _PERJ
2253
SECTION: ".abs_section_254"
2254
  _PERM
2255
SECTION: ".abs_section_25c"
2256
  _PERP
2257
SECTION: ".abs_section_24c"
2258
  _PERS
2259
SECTION: ".abs_section_244"
2260
  _PERT
2261
SECTION: ".abs_section_266"
2262
  _PIEH
2263
SECTION: ".abs_section_26e"
2264
  _PIEJ
2265
SECTION: ".abs_section_25e"
2266
  _PIEP
2267
SECTION: ".abs_section_267"
2268
  _PIFH
2269
SECTION: ".abs_section_26f"
2270
  _PIFJ
2271
SECTION: ".abs_section_25f"
2272
  _PIFP
2273
SECTION: ".abs_section_3a"
2274
  _PLLCTL
2275
SECTION: ".abs_section_8f"
2276
  _PORTAD0
2277
SECTION: ".abs_section_12f"
2278
  _PORTAD1
2279
SECTION: ".abs_section_8"
2280
  _PORTE
2281
SECTION: ".abs_section_32"
2282
  _PORTK
2283
SECTION: ".abs_section_30"
2284
  _PPAGE
2285
SECTION: ".abs_section_265"
2286
  _PPSH
2287
SECTION: ".abs_section_26d"
2288
  _PPSJ
2289
SECTION: ".abs_section_255"
2290
  _PPSM
2291
SECTION: ".abs_section_25d"
2292
  _PPSP
2293
SECTION: ".abs_section_24d"
2294
  _PPSS
2295
SECTION: ".abs_section_245"
2296
  _PPST
2297
SECTION: ".abs_section_260"
2298
  _PTH
2299
SECTION: ".abs_section_261"
2300
  _PTIH
2301
SECTION: ".abs_section_269"
2302
  _PTIJ
2303
SECTION: ".abs_section_251"
2304
  _PTIM
2305
SECTION: ".abs_section_259"
2306
  _PTIP
2307
SECTION: ".abs_section_249"
2308
  _PTIS
2309
SECTION: ".abs_section_241"
2310
  _PTIT
2311
SECTION: ".abs_section_268"
2312
  _PTJ
2313
SECTION: ".abs_section_250"
2314
  _PTM
2315
SECTION: ".abs_section_258"
2316
  _PTP
2317
SECTION: ".abs_section_248"
2318
  _PTS
2319
SECTION: ".abs_section_240"
2320
  _PTT
2321
SECTION: ".abs_section_c"
2322
  _PUCR
2323
SECTION: ".abs_section_a4"
2324
  _PWMCAE
2325
SECTION: ".abs_section_a2"
2326
  _PWMCLK
2327
SECTION: ".abs_section_a5"
2328
  _PWMCTL
2329
SECTION: ".abs_section_a0"
2330
  _PWME
2331
SECTION: ".abs_section_a1"
2332
  _PWMPOL
2333
SECTION: ".abs_section_a3"
2334
  _PWMPRCLK
2335
SECTION: ".abs_section_a8"
2336
  _PWMSCLA
2337
SECTION: ".abs_section_a9"
2338
  _PWMSCLB
2339
SECTION: ".abs_section_c4"
2340
  _PWMSDN
2341
SECTION: ".abs_section_263"
2342
  _RDRH
2343
SECTION: ".abs_section_d"
2344
  _RDRIV
2345
SECTION: ".abs_section_26b"
2346
  _RDRJ
2347
SECTION: ".abs_section_253"
2348
  _RDRM
2349
SECTION: ".abs_section_25b"
2350
  _RDRP
2351
SECTION: ".abs_section_24b"
2352
  _RDRS
2353
SECTION: ".abs_section_243"
2354
  _RDRT
2355
SECTION: ".abs_section_35"
2356
  _REFDV
2357
SECTION: ".abs_section_3b"
2358
  _RTICTL
2359
SECTION: ".abs_section_ca"
2360
  _SCI0CR1
2361
SECTION: ".abs_section_cb"
2362
  _SCI0CR2
2363
SECTION: ".abs_section_ce"
2364
  _SCI0DRH
2365
SECTION: ".abs_section_cf"
2366
  _SCI0DRL
2367
SECTION: ".abs_section_cc"
2368
  _SCI0SR1
2369
SECTION: ".abs_section_cd"
2370
  _SCI0SR2
2371
SECTION: ".abs_section_d2"
2372
  _SCI1CR1
2373
SECTION: ".abs_section_d3"
2374
  _SCI1CR2
2375
SECTION: ".abs_section_d6"
2376
  _SCI1DRH
2377
SECTION: ".abs_section_d7"
2378
  _SCI1DRL
2379
SECTION: ".abs_section_d4"
2380
  _SCI1SR1
2381
SECTION: ".abs_section_d5"
2382
  _SCI1SR2
2383
SECTION: ".abs_section_da"
2384
  _SPI0BR
2385
SECTION: ".abs_section_d8"
2386
  _SPI0CR1
2387
SECTION: ".abs_section_d9"
2388
  _SPI0CR2
2389
SECTION: ".abs_section_dd"
2390
  _SPI0DR
2391
SECTION: ".abs_section_db"
2392
  _SPI0SR
2393
SECTION: ".abs_section_f2"
2394
  _SPI1BR
2395
SECTION: ".abs_section_f0"
2396
  _SPI1CR1
2397
SECTION: ".abs_section_f1"
2398
  _SPI1CR2
2399
SECTION: ".abs_section_f5"
2400
  _SPI1DR
2401
SECTION: ".abs_section_f3"
2402
  _SPI1SR
2403
SECTION: ".abs_section_fa"
2404
  _SPI2BR
2405
SECTION: ".abs_section_f8"
2406
  _SPI2CR1
2407
SECTION: ".abs_section_f9"
2408
  _SPI2CR2
2409
SECTION: ".abs_section_fd"
2410
  _SPI2DR
2411
SECTION: ".abs_section_fb"
2412
  _SPI2SR
2413
SECTION: ".abs_section_34"
2414
  _SYNR
2415
SECTION: ".abs_section_48"
2416
  _TCTL1
2417
SECTION: ".abs_section_49"
2418
  _TCTL2
2419
SECTION: ".abs_section_4a"
2420
  _TCTL3
2421
SECTION: ".abs_section_4b"
2422
  _TCTL4
2423
SECTION: ".abs_section_4e"
2424
  _TFLG1
2425
SECTION: ".abs_section_4f"
2426
  _TFLG2
2427
SECTION: ".abs_section_4c"
2428
  _TIE
2429
SECTION: ".abs_section_6d"
2430
  _TIMTST
2431
SECTION: ".abs_section_40"
2432
  _TIOS
2433
SECTION: ".abs_section_46"
2434
  _TSCR1
2435
SECTION: ".abs_section_4d"
2436
  _TSCR2
2437
SECTION: ".abs_section_47"
2438
  _TTOV
2439
SECTION: ".abs_section_256"
2440
  _WOMM
2441
SECTION: ".abs_section_24e"
2442
  _WOMS
2443
SECTION: ".abs_section_82"
2444
  _ATD0CTL23
2445
SECTION: ".abs_section_84"
2446
  _ATD0CTL45
2447
SECTION: ".abs_section_90"
2448
  _ATD0DR0
2449
SECTION: ".abs_section_92"
2450
  _ATD0DR1
2451
SECTION: ".abs_section_94"
2452
  _ATD0DR2
2453
SECTION: ".abs_section_96"
2454
  _ATD0DR3
2455
SECTION: ".abs_section_98"
2456
  _ATD0DR4
2457
SECTION: ".abs_section_9a"
2458
  _ATD0DR5
2459
SECTION: ".abs_section_9c"
2460
  _ATD0DR6
2461
SECTION: ".abs_section_9e"
2462
  _ATD0DR7
2463
SECTION: ".abs_section_122"
2464
  _ATD1CTL23
2465
SECTION: ".abs_section_124"
2466
  _ATD1CTL45
2467
SECTION: ".abs_section_130"
2468
  _ATD1DR0
2469
SECTION: ".abs_section_132"
2470
  _ATD1DR1
2471
SECTION: ".abs_section_134"
2472
  _ATD1DR2
2473
SECTION: ".abs_section_136"
2474
  _ATD1DR3
2475
SECTION: ".abs_section_138"
2476
  _ATD1DR4
2477
SECTION: ".abs_section_13a"
2478
  _ATD1DR5
2479
SECTION: ".abs_section_13c"
2480
  _ATD1DR6
2481
SECTION: ".abs_section_13e"
2482
  _ATD1DR7
2483
SECTION: ".abs_section_2"
2484
  _DDRAB
2485
SECTION: ".abs_section_76"
2486
  _MCCNT
2487
SECTION: ".abs_section_74"
2488
  _PA10H
2489
SECTION: ".abs_section_72"
2490
  _PA32H
2491
SECTION: ".abs_section_64"
2492
  _PACN10
2493
SECTION: ".abs_section_62"
2494
  _PACN32
2495
SECTION: ".abs_section_0"
2496
  _PORTAB
2497
SECTION: ".abs_section_ac"
2498
  _PWMCNT01
2499
SECTION: ".abs_section_ae"
2500
  _PWMCNT23
2501
SECTION: ".abs_section_b0"
2502
  _PWMCNT45
2503
SECTION: ".abs_section_b2"
2504
  _PWMCNT67
2505
SECTION: ".abs_section_bc"
2506
  _PWMDTY01
2507
SECTION: ".abs_section_be"
2508
  _PWMDTY23
2509
SECTION: ".abs_section_c0"
2510
  _PWMDTY45
2511
SECTION: ".abs_section_c2"
2512
  _PWMDTY67
2513
SECTION: ".abs_section_b4"
2514
  _PWMPER01
2515
SECTION: ".abs_section_b6"
2516
  _PWMPER23
2517
SECTION: ".abs_section_b8"
2518
  _PWMPER45
2519
SECTION: ".abs_section_ba"
2520
  _PWMPER67
2521
SECTION: ".abs_section_c8"
2522
  _SCI0BD
2523
SECTION: ".abs_section_d0"
2524
  _SCI1BD
2525
SECTION: ".abs_section_50"
2526
  _TC0
2527
SECTION: ".abs_section_78"
2528
  _TC0H
2529
SECTION: ".abs_section_52"
2530
  _TC1
2531
SECTION: ".abs_section_7a"
2532
  _TC1H
2533
SECTION: ".abs_section_54"
2534
  _TC2
2535
SECTION: ".abs_section_7c"
2536
  _TC2H
2537
SECTION: ".abs_section_56"
2538
  _TC3
2539
SECTION: ".abs_section_7e"
2540
  _TC3H
2541
SECTION: ".abs_section_58"
2542
  _TC4
2543
SECTION: ".abs_section_5a"
2544
  _TC5
2545
SECTION: ".abs_section_5c"
2546
  _TC6
2547
SECTION: ".abs_section_5e"
2548
  _TC7
2549
SECTION: ".abs_section_44"
2550
  _TCNT
2551
SECTION: ".abs_section_ff80"
2552
  _vect
2553
SECTION: "TickTimer_DATA"
2554
  CmpHighVal
2555
SECTION: "Byte1_DATA"
2556
  Byte1_Table
2557
SECTION: "COM0_DATA"
2558
  COM0_PrescHigh.1 SerFlag PrescHigh NumMode
2559
SECTION: "ROM_PAGE31_524"
2560
  xSerialGetChar xSerialPutChar xTaskCreate vTaskDelete vTaskDelayUntil
2561
  vTaskDelay uxTaskPriorityGet
2562
SECTION: "ROM_PAGE32_525"
2563
  vTaskPrioritySet vTaskSuspend vTaskResume vTaskStartScheduler
2564
  vTaskSuspendAll xTaskResumeAll xTaskGetTickCount uxTaskGetNumberOfTasks
2565
SECTION: "ROM_PAGE33_526"
2566
  vTaskIncrementTick vTaskSwitchContext vTaskPlaceOnEventList
2567
  xTaskRemoveFromEventList prvIdleTask prvInitialiseTCBVariables
2568
  prvInitialiseTaskLists
2569
SECTION: "ROM_PAGE34_527"
2570
  prvCheckTasksWaitingTermination prvAllocateTCBAndStack prvDeleteTCB
2571
  xQueueCreate xQueueSend xQueueSendFromISR
2572
SECTION: "ROM_PAGE35_528"
2573
  xQueueReceive xQueueReceiveFromISR uxQueueMessagesWaiting prvUnlockQueue
2574
  prvIsQueueEmpty prvIsQueueFull vListInitialise vListInitialiseItem
2575
  vListInsertEnd
2576
SECTION: "ROM_PAGE36_529"
2577
  vListInsert vListRemove pvPortMalloc vPortFree vStartLEDFlashTasks
2578
  vLEDFlashTask
2579
SECTION: "ROM_PAGE37_530"
2580
  vStartDynamicPriorityTasks vLimitedIncrementTask vContinuousIncrementTask
2581
  vCounterControlTask vQueueSendWhenSuspendedTask
2582
  vQueueReceiveWhenSuspendedTask xAreDynamicPriorityTasksStillRunning
2583
SECTION: "ROM_PAGE38_531"
2584
  vStartPolledQueueTasks vPolledQueueProducer vPolledQueueConsumer
2585
  xArePollingQueuesStillRunning PE_Timer_LngHi1 vAltStartComTestTasks
2586
  vComTxTask
2587
SECTION: "ROM_PAGE39_532"
2588
  vComRxTask xAreComTestTasksStillRunning pxPortInitialiseStack
2589
  xPortStartScheduler vStartIntegerMathTasks vCompeteingIntMathTask
2590
  xAreIntegerMathsTaskStillRunning
2591
SECTION: "ROM_PAGE3A_533"
2592
  vStartBlockingQueueTasks vBlockingQueueProducer vBlockingQueueConsumer
2593
  xAreBlockingQueuesStillRunning vCreateSuicidalTasks
2594
SECTION: "ROM_PAGE3B_534"
2595
  vSuicidalTask vCreateTasks xIsCreateTaskStillRunning
2596
 
2597
*********************************************************************************************
2598
OBJECT LIST SORTED BY ADDRESS
2599
     Name                                      Addr   hSize   dSize     Ref    Section   RLIB
2600
---------------------------------------------------------------------------------------------
2601
     _PORTAB                                      0       2       2       6   .abs_section_0
2602
     _DDRAB                                       2       2       2       1   .abs_section_2
2603
     _PORTE                                       8       1       1       0   .abs_section_8
2604
     _DDRE                                        9       1       1       0   .abs_section_9
2605
     _PEAR                                        A       1       1       0   .abs_section_a
2606
     _MODE                                        B       1       1       0   .abs_section_b
2607
     _PUCR                                        C       1       1       0   .abs_section_c
2608
     _RDRIV                                       D       1       1       0   .abs_section_d
2609
     _EBICTL                                      E       1       1       0   .abs_section_e
2610
     _INITRM                                     10       1       1       1   .abs_section_10
2611
     _INITRG                                     11       1       1       0   .abs_section_11
2612
     _INITEE                                     12       1       1       1   .abs_section_12
2613
     _MISC                                       13       1       1       1   .abs_section_13
2614
     _MTST0                                      14       1       1       0   .abs_section_14
2615
     _ITCR                                       15       1       1       0   .abs_section_15
2616
     _ITEST                                      16       1       1       0   .abs_section_16
2617
     _MTST1                                      17       1       1       0   .abs_section_17
2618
     _PARTIDH                                    1A       1       1       0   .abs_section_1a
2619
     _PARTIDL                                    1B       1       1       0   .abs_section_1b
2620
     _MEMSIZ0                                    1C       1       1       0   .abs_section_1c
2621
     _MEMSIZ1                                    1D       1       1       0   .abs_section_1d
2622
     _INTCR                                      1E       1       1       1   .abs_section_1e
2623
     _HPRIO                                      1F       1       1       0   .abs_section_1f
2624
     _BKPCT0                                     28       1       1       0   .abs_section_28
2625
     _BKPCT1                                     29       1       1       0   .abs_section_29
2626
     _BKP0X                                      2A       1       1       0   .abs_section_2a
2627
     _BKP0H                                      2B       1       1       0   .abs_section_2b
2628
     _BKP0L                                      2C       1       1       0   .abs_section_2c
2629
     _BKP1X                                      2D       1       1       0   .abs_section_2d
2630
     _BKP1H                                      2E       1       1       0   .abs_section_2e
2631
     _BKP1L                                      2F       1       1       0   .abs_section_2f
2632
     _PPAGE                                      30       1       1       0   .abs_section_30
2633
     _PORTK                                      32       1       1       0   .abs_section_32
2634
     _DDRK                                       33       1       1       0   .abs_section_33
2635
     _SYNR                                       34       1       1       1   .abs_section_34
2636
     _REFDV                                      35       1       1       1   .abs_section_35
2637
     _CTFLG                                      36       1       1       0   .abs_section_36
2638
     _CRGFLG                                     37       1       1       1   .abs_section_37
2639
     _CRGINT                                     38       1       1       0   .abs_section_38
2640
     _CLKSEL                                     39       1       1       3   .abs_section_39
2641
     _PLLCTL                                     3A       1       1       3   .abs_section_3a
2642
     _RTICTL                                     3B       1       1       0   .abs_section_3b
2643
     _COPCTL                                     3C       1       1       0   .abs_section_3c
2644
     _FORBYP                                     3D       1       1       0   .abs_section_3d
2645
     _CTCTL                                      3E       1       1       0   .abs_section_3e
2646
     _ARMCOP                                     3F       1       1       0   .abs_section_3f
2647
     _TIOS                                       40       1       1       1   .abs_section_40
2648
     _CFORC                                      41       1       1       0   .abs_section_41
2649
     _OC7M                                       42       1       1       0   .abs_section_42
2650
     _OC7D                                       43       1       1       0   .abs_section_43
2651
     _TCNT                                       44       2       2       0   .abs_section_44
2652
     _TSCR1                                      46       1       1       3   .abs_section_46
2653
     _TTOV                                       47       1       1       1   .abs_section_47
2654
     _TCTL1                                      48       1       1       1   .abs_section_48
2655
     _TCTL2                                      49       1       1       1   .abs_section_49
2656
     _TCTL3                                      4A       1       1       0   .abs_section_4a
2657
     _TCTL4                                      4B       1       1       0   .abs_section_4b
2658
     _TIE                                        4C       1       1       3   .abs_section_4c
2659
     _TSCR2                                      4D       1       1       5   .abs_section_4d
2660
     _TFLG1                                      4E       1       1       3   .abs_section_4e
2661
     _TFLG2                                      4F       1       1       0   .abs_section_4f
2662
     _TC0                                        50       2       2       2   .abs_section_50
2663
     _TC1                                        52       2       2       0   .abs_section_52
2664
     _TC2                                        54       2       2       0   .abs_section_54
2665
     _TC3                                        56       2       2       0   .abs_section_56
2666
     _TC4                                        58       2       2       0   .abs_section_58
2667
     _TC5                                        5A       2       2       0   .abs_section_5a
2668
     _TC6                                        5C       2       2       0   .abs_section_5c
2669
     _TC7                                        5E       2       2       2   .abs_section_5e
2670
     _PACTL                                      60       1       1       0   .abs_section_60
2671
     _PAFLG                                      61       1       1       0   .abs_section_61
2672
     _PACN32                                     62       2       2       0   .abs_section_62
2673
     _PACN10                                     64       2       2       0   .abs_section_64
2674
     _MCCTL                                      66       1       1       1   .abs_section_66
2675
     _MCFLG                                      67       1       1       0   .abs_section_67
2676
     _ICPAR                                      68       1       1       0   .abs_section_68
2677
     _DLYCT                                      69       1       1       0   .abs_section_69
2678
     _ICOVW                                      6A       1       1       0   .abs_section_6a
2679
     _ICSYS                                      6B       1       1       1   .abs_section_6b
2680
     _TIMTST                                     6D       1       1       0   .abs_section_6d
2681
     _PBCTL                                      70       1       1       0   .abs_section_70
2682
     _PBFLG                                      71       1       1       0   .abs_section_71
2683
     _PA32H                                      72       2       2       0   .abs_section_72
2684
     _PA10H                                      74       2       2       0   .abs_section_74
2685
     _MCCNT                                      76       2       2       0   .abs_section_76
2686
     _TC0H                                       78       2       2       0   .abs_section_78
2687
     _TC1H                                       7A       2       2       0   .abs_section_7a
2688
     _TC2H                                       7C       2       2       0   .abs_section_7c
2689
     _TC3H                                       7E       2       2       0   .abs_section_7e
2690
     _ATD0CTL23                                  82       2       2       0   .abs_section_82
2691
     _ATD0CTL45                                  84       2       2       0   .abs_section_84
2692
     _ATD0STAT0                                  86       1       1       0   .abs_section_86
2693
     _ATD0STAT1                                  8B       1       1       0   .abs_section_8b
2694
     _ATD0DIEN                                   8D       1       1       0   .abs_section_8d
2695
     _PORTAD0                                    8F       1       1       0   .abs_section_8f
2696
     _ATD0DR0                                    90       2       2       0   .abs_section_90
2697
     _ATD0DR1                                    92       2       2       0   .abs_section_92
2698
     _ATD0DR2                                    94       2       2       0   .abs_section_94
2699
     _ATD0DR3                                    96       2       2       0   .abs_section_96
2700
     _ATD0DR4                                    98       2       2       0   .abs_section_98
2701
     _ATD0DR5                                    9A       2       2       0   .abs_section_9a
2702
     _ATD0DR6                                    9C       2       2       0   .abs_section_9c
2703
     _ATD0DR7                                    9E       2       2       0   .abs_section_9e
2704
     _PWME                                       A0       1       1       0   .abs_section_a0
2705
     _PWMPOL                                     A1       1       1       0   .abs_section_a1
2706
     _PWMCLK                                     A2       1       1       0   .abs_section_a2
2707
     _PWMPRCLK                                   A3       1       1       0   .abs_section_a3
2708
     _PWMCAE                                     A4       1       1       0   .abs_section_a4
2709
     _PWMCTL                                     A5       1       1       1   .abs_section_a5
2710
     _PWMSCLA                                    A8       1       1       0   .abs_section_a8
2711
     _PWMSCLB                                    A9       1       1       0   .abs_section_a9
2712
     _PWMCNT01                                   AC       2       2       0   .abs_section_ac
2713
     _PWMCNT23                                   AE       2       2       0   .abs_section_ae
2714
     _PWMCNT45                                   B0       2       2       0   .abs_section_b0
2715
     _PWMCNT67                                   B2       2       2       0   .abs_section_b2
2716
     _PWMPER01                                   B4       2       2       0   .abs_section_b4
2717
     _PWMPER23                                   B6       2       2       0   .abs_section_b6
2718
     _PWMPER45                                   B8       2       2       0   .abs_section_b8
2719
     _PWMPER67                                   BA       2       2       0   .abs_section_ba
2720
     _PWMDTY01                                   BC       2       2       0   .abs_section_bc
2721
     _PWMDTY23                                   BE       2       2       0   .abs_section_be
2722
     _PWMDTY45                                   C0       2       2       0   .abs_section_c0
2723
     _PWMDTY67                                   C2       2       2       0   .abs_section_c2
2724
     _PWMSDN                                     C4       1       1       1   .abs_section_c4
2725
     _SCI0BD                                     C8       2       2       2   .abs_section_c8
2726
     _SCI0CR1                                    CA       1       1       1   .abs_section_ca
2727
     _SCI0CR2                                    CB       1       1       7   .abs_section_cb
2728
     _SCI0SR1                                    CC       1       1       2   .abs_section_cc
2729
     _SCI0SR2                                    CD       1       1       1   .abs_section_cd
2730
     _SCI0DRH                                    CE       1       1       0   .abs_section_ce
2731
     _SCI0DRL                                    CF       1       1       3   .abs_section_cf
2732
     _SCI1BD                                     D0       2       2       0   .abs_section_d0
2733
     _SCI1CR1                                    D2       1       1       0   .abs_section_d2
2734
     _SCI1CR2                                    D3       1       1       0   .abs_section_d3
2735
     _SCI1SR1                                    D4       1       1       0   .abs_section_d4
2736
     _SCI1SR2                                    D5       1       1       0   .abs_section_d5
2737
     _SCI1DRH                                    D6       1       1       0   .abs_section_d6
2738
     _SCI1DRL                                    D7       1       1       0   .abs_section_d7
2739
     _SPI0CR1                                    D8       1       1       0   .abs_section_d8
2740
     _SPI0CR2                                    D9       1       1       0   .abs_section_d9
2741
     _SPI0BR                                     DA       1       1       0   .abs_section_da
2742
     _SPI0SR                                     DB       1       1       0   .abs_section_db
2743
     _SPI0DR                                     DD       1       1       0   .abs_section_dd
2744
     _IBAD                                       E0       1       1       0   .abs_section_e0
2745
     _IBFD                                       E1       1       1       0   .abs_section_e1
2746
     _IBCR                                       E2       1       1       0   .abs_section_e2
2747
     _IBSR                                       E3       1       1       0   .abs_section_e3
2748
     _IBDR                                       E4       1       1       0   .abs_section_e4
2749
     _DLCBCR1                                    E8       1       1       0   .abs_section_e8
2750
     _DLCBSVR                                    E9       1       1       0   .abs_section_e9
2751
     _DLCBCR2                                    EA       1       1       0   .abs_section_ea
2752
     _DLCBDR                                     EB       1       1       0   .abs_section_eb
2753
     _DLCBARD                                    EC       1       1       0   .abs_section_ec
2754
     _DLCBRSR                                    ED       1       1       0   .abs_section_ed
2755
     _DLCSCR                                     EE       1       1       0   .abs_section_ee
2756
     _SPI1CR1                                    F0       1       1       0   .abs_section_f0
2757
     _SPI1CR2                                    F1       1       1       0   .abs_section_f1
2758
     _SPI1BR                                     F2       1       1       0   .abs_section_f2
2759
     _SPI1SR                                     F3       1       1       0   .abs_section_f3
2760
     _SPI1DR                                     F5       1       1       0   .abs_section_f5
2761
     _SPI2CR1                                    F8       1       1       0   .abs_section_f8
2762
     _SPI2CR2                                    F9       1       1       0   .abs_section_f9
2763
     _SPI2BR                                     FA       1       1       0   .abs_section_fa
2764
     _SPI2SR                                     FB       1       1       0   .abs_section_fb
2765
     _SPI2DR                                     FD       1       1       0   .abs_section_fd
2766
     _FCLKDIV                                   100       1       1       0   .abs_section_100
2767
     _FSEC                                      101       1       1       0   .abs_section_101
2768
     _FCNFG                                     103       1       1       0   .abs_section_103
2769
     _FPROT                                     104       1       1       0   .abs_section_104
2770
     _FSTAT                                     105       1       1       0   .abs_section_105
2771
     _FCMD                                      106       1       1       0   .abs_section_106
2772
     _ECLKDIV                                   110       1       1       0   .abs_section_110
2773
     _ECNFG                                     113       1       1       0   .abs_section_113
2774
     _EPROT                                     114       1       1       0   .abs_section_114
2775
     _ESTAT                                     115       1       1       0   .abs_section_115
2776
     _ECMD                                      116       1       1       0   .abs_section_116
2777
     _ATD1CTL23                                 122       2       2       0   .abs_section_122
2778
     _ATD1CTL45                                 124       2       2       0   .abs_section_124
2779
     _ATD1STAT0                                 126       1       1       0   .abs_section_126
2780
     _ATD1STAT1                                 12B       1       1       0   .abs_section_12b
2781
     _ATD1DIEN                                  12D       1       1       0   .abs_section_12d
2782
     _PORTAD1                                   12F       1       1       0   .abs_section_12f
2783
     _ATD1DR0                                   130       2       2       0   .abs_section_130
2784
     _ATD1DR1                                   132       2       2       0   .abs_section_132
2785
     _ATD1DR2                                   134       2       2       0   .abs_section_134
2786
     _ATD1DR3                                   136       2       2       0   .abs_section_136
2787
     _ATD1DR4                                   138       2       2       0   .abs_section_138
2788
     _ATD1DR5                                   13A       2       2       0   .abs_section_13a
2789
     _ATD1DR6                                   13C       2       2       0   .abs_section_13c
2790
     _ATD1DR7                                   13E       2       2       0   .abs_section_13e
2791
     _CAN0CTL0                                  140       1       1       0   .abs_section_140
2792
     _CAN0CTL1                                  141       1       1       0   .abs_section_141
2793
     _CAN0BTR0                                  142       1       1       0   .abs_section_142
2794
     _CAN0BTR1                                  143       1       1       0   .abs_section_143
2795
     _CAN0RFLG                                  144       1       1       0   .abs_section_144
2796
     _CAN0RIER                                  145       1       1       0   .abs_section_145
2797
     _CAN0TFLG                                  146       1       1       0   .abs_section_146
2798
     _CAN0TIER                                  147       1       1       0   .abs_section_147
2799
     _CAN0TARQ                                  148       1       1       0   .abs_section_148
2800
     _CAN0TAAK                                  149       1       1       0   .abs_section_149
2801
     _CAN0TBSEL                                 14A       1       1       0   .abs_section_14a
2802
     _CAN0IDAC                                  14B       1       1       0   .abs_section_14b
2803
     _CAN0RXERR                                 14E       1       1       0   .abs_section_14e
2804
     _CAN0TXERR                                 14F       1       1       0   .abs_section_14f
2805
     _CAN0IDAR0                                 150       1       1       0   .abs_section_150
2806
     _CAN0IDAR1                                 151       1       1       0   .abs_section_151
2807
     _CAN0IDAR2                                 152       1       1       0   .abs_section_152
2808
     _CAN0IDAR3                                 153       1       1       0   .abs_section_153
2809
     _CAN0IDMR0                                 154       1       1       0   .abs_section_154
2810
     _CAN0IDMR1                                 155       1       1       0   .abs_section_155
2811
     _CAN0IDMR2                                 156       1       1       0   .abs_section_156
2812
     _CAN0IDMR3                                 157       1       1       0   .abs_section_157
2813
     _CAN0IDAR4                                 158       1       1       0   .abs_section_158
2814
     _CAN0IDAR5                                 159       1       1       0   .abs_section_159
2815
     _CAN0IDAR6                                 15A       1       1       0   .abs_section_15a
2816
     _CAN0IDAR7                                 15B       1       1       0   .abs_section_15b
2817
     _CAN0IDMR4                                 15C       1       1       0   .abs_section_15c
2818
     _CAN0IDMR5                                 15D       1       1       0   .abs_section_15d
2819
     _CAN0IDMR6                                 15E       1       1       0   .abs_section_15e
2820
     _CAN0IDMR7                                 15F       1       1       0   .abs_section_15f
2821
     _CAN0RXIDR0                                160       1       1       0   .abs_section_160
2822
     _CAN0RXIDR1                                161       1       1       0   .abs_section_161
2823
     _CAN0RXIDR2                                162       1       1       0   .abs_section_162
2824
     _CAN0RXIDR3                                163       1       1       0   .abs_section_163
2825
     _CAN0RXDSR0                                164       1       1       0   .abs_section_164
2826
     _CAN0RXDSR1                                165       1       1       0   .abs_section_165
2827
     _CAN0RXDSR2                                166       1       1       0   .abs_section_166
2828
     _CAN0RXDSR3                                167       1       1       0   .abs_section_167
2829
     _CAN0RXDSR4                                168       1       1       0   .abs_section_168
2830
     _CAN0RXDSR5                                169       1       1       0   .abs_section_169
2831
     _CAN0RXDSR6                                16A       1       1       0   .abs_section_16a
2832
     _CAN0RXDSR7                                16B       1       1       0   .abs_section_16b
2833
     _CAN0RXDLR                                 16C       1       1       0   .abs_section_16c
2834
     _CAN0TXIDR0                                170       1       1       0   .abs_section_170
2835
     _CAN0TXIDR1                                171       1       1       0   .abs_section_171
2836
     _CAN0TXIDR2                                172       1       1       0   .abs_section_172
2837
     _CAN0TXIDR3                                173       1       1       0   .abs_section_173
2838
     _CAN0TXDSR0                                174       1       1       0   .abs_section_174
2839
     _CAN0TXDSR1                                175       1       1       0   .abs_section_175
2840
     _CAN0TXDSR2                                176       1       1       0   .abs_section_176
2841
     _CAN0TXDSR3                                177       1       1       0   .abs_section_177
2842
     _CAN0TXDSR4                                178       1       1       0   .abs_section_178
2843
     _CAN0TXDSR5                                179       1       1       0   .abs_section_179
2844
     _CAN0TXDSR6                                17A       1       1       0   .abs_section_17a
2845
     _CAN0TXDSR7                                17B       1       1       0   .abs_section_17b
2846
     _CAN0TXDLR                                 17C       1       1       0   .abs_section_17c
2847
     _CAN0TXTBPR                                17F       1       1       0   .abs_section_17f
2848
     _CAN1CTL0                                  180       1       1       0   .abs_section_180
2849
     _CAN1CTL1                                  181       1       1       0   .abs_section_181
2850
     _CAN1BTR0                                  182       1       1       0   .abs_section_182
2851
     _CAN1BTR1                                  183       1       1       0   .abs_section_183
2852
     _CAN1RFLG                                  184       1       1       0   .abs_section_184
2853
     _CAN1RIER                                  185       1       1       0   .abs_section_185
2854
     _CAN1TFLG                                  186       1       1       0   .abs_section_186
2855
     _CAN1TIER                                  187       1       1       0   .abs_section_187
2856
     _CAN1TARQ                                  188       1       1       0   .abs_section_188
2857
     _CAN1TAAK                                  189       1       1       0   .abs_section_189
2858
     _CAN1TBSEL                                 18A       1       1       0   .abs_section_18a
2859
     _CAN1IDAC                                  18B       1       1       0   .abs_section_18b
2860
     _CAN1RXERR                                 18E       1       1       0   .abs_section_18e
2861
     _CAN1TXERR                                 18F       1       1       0   .abs_section_18f
2862
     _CAN1IDAR0                                 190       1       1       0   .abs_section_190
2863
     _CAN1IDAR1                                 191       1       1       0   .abs_section_191
2864
     _CAN1IDAR2                                 192       1       1       0   .abs_section_192
2865
     _CAN1IDAR3                                 193       1       1       0   .abs_section_193
2866
     _CAN1IDMR0                                 194       1       1       0   .abs_section_194
2867
     _CAN1IDMR1                                 195       1       1       0   .abs_section_195
2868
     _CAN1IDMR2                                 196       1       1       0   .abs_section_196
2869
     _CAN1IDMR3                                 197       1       1       0   .abs_section_197
2870
     _CAN1IDAR4                                 198       1       1       0   .abs_section_198
2871
     _CAN1IDAR5                                 199       1       1       0   .abs_section_199
2872
     _CAN1IDAR6                                 19A       1       1       0   .abs_section_19a
2873
     _CAN1IDAR7                                 19B       1       1       0   .abs_section_19b
2874
     _CAN1IDMR4                                 19C       1       1       0   .abs_section_19c
2875
     _CAN1IDMR5                                 19D       1       1       0   .abs_section_19d
2876
     _CAN1IDMR6                                 19E       1       1       0   .abs_section_19e
2877
     _CAN1IDMR7                                 19F       1       1       0   .abs_section_19f
2878
     _CAN1RXIDR0                                1A0       1       1       0   .abs_section_1a0
2879
     _CAN1RXIDR1                                1A1       1       1       0   .abs_section_1a1
2880
     _CAN1RXIDR2                                1A2       1       1       0   .abs_section_1a2
2881
     _CAN1RXIDR3                                1A3       1       1       0   .abs_section_1a3
2882
     _CAN1RXDSR0                                1A4       1       1       0   .abs_section_1a4
2883
     _CAN1RXDSR1                                1A5       1       1       0   .abs_section_1a5
2884
     _CAN1RXDSR2                                1A6       1       1       0   .abs_section_1a6
2885
     _CAN1RXDSR3                                1A7       1       1       0   .abs_section_1a7
2886
     _CAN1RXDSR4                                1A8       1       1       0   .abs_section_1a8
2887
     _CAN1RXDSR5                                1A9       1       1       0   .abs_section_1a9
2888
     _CAN1RXDSR6                                1AA       1       1       0   .abs_section_1aa
2889
     _CAN1RXDSR7                                1AB       1       1       0   .abs_section_1ab
2890
     _CAN1RXDLR                                 1AC       1       1       0   .abs_section_1ac
2891
     _CAN1TXIDR0                                1B0       1       1       0   .abs_section_1b0
2892
     _CAN1TXIDR1                                1B1       1       1       0   .abs_section_1b1
2893
     _CAN1TXIDR2                                1B2       1       1       0   .abs_section_1b2
2894
     _CAN1TXIDR3                                1B3       1       1       0   .abs_section_1b3
2895
     _CAN1TXDSR0                                1B4       1       1       0   .abs_section_1b4
2896
     _CAN1TXDSR1                                1B5       1       1       0   .abs_section_1b5
2897
     _CAN1TXDSR2                                1B6       1       1       0   .abs_section_1b6
2898
     _CAN1TXDSR3                                1B7       1       1       0   .abs_section_1b7
2899
     _CAN1TXDSR4                                1B8       1       1       0   .abs_section_1b8
2900
     _CAN1TXDSR5                                1B9       1       1       0   .abs_section_1b9
2901
     _CAN1TXDSR6                                1BA       1       1       0   .abs_section_1ba
2902
     _CAN1TXDSR7                                1BB       1       1       0   .abs_section_1bb
2903
     _CAN1TXDLR                                 1BC       1       1       0   .abs_section_1bc
2904
     _CAN1TXTBPR                                1BF       1       1       0   .abs_section_1bf
2905
     _CAN2CTL0                                  1C0       1       1       0   .abs_section_1c0
2906
     _CAN2CTL1                                  1C1       1       1       0   .abs_section_1c1
2907
     _CAN2BTR0                                  1C2       1       1       0   .abs_section_1c2
2908
     _CAN2BTR1                                  1C3       1       1       0   .abs_section_1c3
2909
     _CAN2RFLG                                  1C4       1       1       0   .abs_section_1c4
2910
     _CAN2RIER                                  1C5       1       1       0   .abs_section_1c5
2911
     _CAN2TFLG                                  1C6       1       1       0   .abs_section_1c6
2912
     _CAN2TIER                                  1C7       1       1       0   .abs_section_1c7
2913
     _CAN2TARQ                                  1C8       1       1       0   .abs_section_1c8
2914
     _CAN2TAAK                                  1C9       1       1       0   .abs_section_1c9
2915
     _CAN2TBSEL                                 1CA       1       1       0   .abs_section_1ca
2916
     _CAN2IDAC                                  1CB       1       1       0   .abs_section_1cb
2917
     _CAN2RXERR                                 1CE       1       1       0   .abs_section_1ce
2918
     _CAN2TXERR                                 1CF       1       1       0   .abs_section_1cf
2919
     _CAN2IDAR0                                 1D0       1       1       0   .abs_section_1d0
2920
     _CAN2IDAR1                                 1D1       1       1       0   .abs_section_1d1
2921
     _CAN2IDAR2                                 1D2       1       1       0   .abs_section_1d2
2922
     _CAN2IDAR3                                 1D3       1       1       0   .abs_section_1d3
2923
     _CAN2IDMR0                                 1D4       1       1       0   .abs_section_1d4
2924
     _CAN2IDMR1                                 1D5       1       1       0   .abs_section_1d5
2925
     _CAN2IDMR2                                 1D6       1       1       0   .abs_section_1d6
2926
     _CAN2IDMR3                                 1D7       1       1       0   .abs_section_1d7
2927
     _CAN2IDAR4                                 1D8       1       1       0   .abs_section_1d8
2928
     _CAN2IDAR5                                 1D9       1       1       0   .abs_section_1d9
2929
     _CAN2IDAR6                                 1DA       1       1       0   .abs_section_1da
2930
     _CAN2IDAR7                                 1DB       1       1       0   .abs_section_1db
2931
     _CAN2IDMR4                                 1DC       1       1       0   .abs_section_1dc
2932
     _CAN2IDMR5                                 1DD       1       1       0   .abs_section_1dd
2933
     _CAN2IDMR6                                 1DE       1       1       0   .abs_section_1de
2934
     _CAN2IDMR7                                 1DF       1       1       0   .abs_section_1df
2935
     _CAN2RXIDR0                                1E0       1       1       0   .abs_section_1e0
2936
     _CAN2RXIDR1                                1E1       1       1       0   .abs_section_1e1
2937
     _CAN2RXIDR2                                1E2       1       1       0   .abs_section_1e2
2938
     _CAN2RXIDR3                                1E3       1       1       0   .abs_section_1e3
2939
     _CAN2RXDSR0                                1E4       1       1       0   .abs_section_1e4
2940
     _CAN2RXDSR1                                1E5       1       1       0   .abs_section_1e5
2941
     _CAN2RXDSR2                                1E6       1       1       0   .abs_section_1e6
2942
     _CAN2RXDSR3                                1E7       1       1       0   .abs_section_1e7
2943
     _CAN2RXDSR4                                1E8       1       1       0   .abs_section_1e8
2944
     _CAN2RXDSR5                                1E9       1       1       0   .abs_section_1e9
2945
     _CAN2RXDSR6                                1EA       1       1       0   .abs_section_1ea
2946
     _CAN2RXDSR7                                1EB       1       1       0   .abs_section_1eb
2947
     _CAN2RXDLR                                 1EC       1       1       0   .abs_section_1ec
2948
     _CAN2TXIDR0                                1F0       1       1       0   .abs_section_1f0
2949
     _CAN2TXIDR1                                1F1       1       1       0   .abs_section_1f1
2950
     _CAN2TXIDR2                                1F2       1       1       0   .abs_section_1f2
2951
     _CAN2TXIDR3                                1F3       1       1       0   .abs_section_1f3
2952
     _CAN2TXDSR0                                1F4       1       1       0   .abs_section_1f4
2953
     _CAN2TXDSR1                                1F5       1       1       0   .abs_section_1f5
2954
     _CAN2TXDSR2                                1F6       1       1       0   .abs_section_1f6
2955
     _CAN2TXDSR3                                1F7       1       1       0   .abs_section_1f7
2956
     _CAN2TXDSR4                                1F8       1       1       0   .abs_section_1f8
2957
     _CAN2TXDSR5                                1F9       1       1       0   .abs_section_1f9
2958
     _CAN2TXDSR6                                1FA       1       1       0   .abs_section_1fa
2959
     _CAN2TXDSR7                                1FB       1       1       0   .abs_section_1fb
2960
     _CAN2TXDLR                                 1FC       1       1       0   .abs_section_1fc
2961
     _CAN2TXTBPR                                1FF       1       1       0   .abs_section_1ff
2962
     _CAN3CTL0                                  200       1       1       0   .abs_section_200
2963
     _CAN3CTL1                                  201       1       1       0   .abs_section_201
2964
     _CAN3BTR0                                  202       1       1       0   .abs_section_202
2965
     _CAN3BTR1                                  203       1       1       0   .abs_section_203
2966
     _CAN3RFLG                                  204       1       1       0   .abs_section_204
2967
     _CAN3RIER                                  205       1       1       0   .abs_section_205
2968
     _CAN3TFLG                                  206       1       1       0   .abs_section_206
2969
     _CAN3TIER                                  207       1       1       0   .abs_section_207
2970
     _CAN3TARQ                                  208       1       1       0   .abs_section_208
2971
     _CAN3TAAK                                  209       1       1       0   .abs_section_209
2972
     _CAN3TBSEL                                 20A       1       1       0   .abs_section_20a
2973
     _CAN3IDAC                                  20B       1       1       0   .abs_section_20b
2974
     _CAN3RXERR                                 20E       1       1       0   .abs_section_20e
2975
     _CAN3TXERR                                 20F       1       1       0   .abs_section_20f
2976
     _CAN3IDAR0                                 210       1       1       0   .abs_section_210
2977
     _CAN3IDAR1                                 211       1       1       0   .abs_section_211
2978
     _CAN3IDAR2                                 212       1       1       0   .abs_section_212
2979
     _CAN3IDAR3                                 213       1       1       0   .abs_section_213
2980
     _CAN3IDMR0                                 214       1       1       0   .abs_section_214
2981
     _CAN3IDMR1                                 215       1       1       0   .abs_section_215
2982
     _CAN3IDMR2                                 216       1       1       0   .abs_section_216
2983
     _CAN3IDMR3                                 217       1       1       0   .abs_section_217
2984
     _CAN3IDAR4                                 218       1       1       0   .abs_section_218
2985
     _CAN3IDAR5                                 219       1       1       0   .abs_section_219
2986
     _CAN3IDAR6                                 21A       1       1       0   .abs_section_21a
2987
     _CAN3IDAR7                                 21B       1       1       0   .abs_section_21b
2988
     _CAN3IDMR4                                 21C       1       1       0   .abs_section_21c
2989
     _CAN3IDMR5                                 21D       1       1       0   .abs_section_21d
2990
     _CAN3IDMR6                                 21E       1       1       0   .abs_section_21e
2991
     _CAN3IDMR7                                 21F       1       1       0   .abs_section_21f
2992
     _CAN3RXIDR0                                220       1       1       0   .abs_section_220
2993
     _CAN3RXIDR1                                221       1       1       0   .abs_section_221
2994
     _CAN3RXIDR2                                222       1       1       0   .abs_section_222
2995
     _CAN3RXIDR3                                223       1       1       0   .abs_section_223
2996
     _CAN3RXDSR0                                224       1       1       0   .abs_section_224
2997
     _CAN3RXDSR1                                225       1       1       0   .abs_section_225
2998
     _CAN3RXDSR2                                226       1       1       0   .abs_section_226
2999
     _CAN3RXDSR3                                227       1       1       0   .abs_section_227
3000
     _CAN3RXDSR4                                228       1       1       0   .abs_section_228
3001
     _CAN3RXDSR5                                229       1       1       0   .abs_section_229
3002
     _CAN3RXDSR6                                22A       1       1       0   .abs_section_22a
3003
     _CAN3RXDSR7                                22B       1       1       0   .abs_section_22b
3004
     _CAN3RXDLR                                 22C       1       1       0   .abs_section_22c
3005
     _CAN3TXIDR0                                230       1       1       0   .abs_section_230
3006
     _CAN3TXIDR1                                231       1       1       0   .abs_section_231
3007
     _CAN3TXIDR2                                232       1       1       0   .abs_section_232
3008
     _CAN3TXIDR3                                233       1       1       0   .abs_section_233
3009
     _CAN3TXDSR0                                234       1       1       0   .abs_section_234
3010
     _CAN3TXDSR1                                235       1       1       0   .abs_section_235
3011
     _CAN3TXDSR2                                236       1       1       0   .abs_section_236
3012
     _CAN3TXDSR3                                237       1       1       0   .abs_section_237
3013
     _CAN3TXDSR4                                238       1       1       0   .abs_section_238
3014
     _CAN3TXDSR5                                239       1       1       0   .abs_section_239
3015
     _CAN3TXDSR6                                23A       1       1       0   .abs_section_23a
3016
     _CAN3TXDSR7                                23B       1       1       0   .abs_section_23b
3017
     _CAN3TXDLR                                 23C       1       1       0   .abs_section_23c
3018
     _CAN3TXTBPR                                23F       1       1       0   .abs_section_23f
3019
     _PTT                                       240       1       1       0   .abs_section_240
3020
     _PTIT                                      241       1       1       0   .abs_section_241
3021
     _DDRT                                      242       1       1       0   .abs_section_242
3022
     _RDRT                                      243       1       1       0   .abs_section_243
3023
     _PERT                                      244       1       1       0   .abs_section_244
3024
     _PPST                                      245       1       1       0   .abs_section_245
3025
     _PTS                                       248       1       1       1   .abs_section_248
3026
     _PTIS                                      249       1       1       0   .abs_section_249
3027
     _DDRS                                      24A       1       1       2   .abs_section_24a
3028
     _RDRS                                      24B       1       1       0   .abs_section_24b
3029
     _PERS                                      24C       1       1       0   .abs_section_24c
3030
     _PPSS                                      24D       1       1       0   .abs_section_24d
3031
     _WOMS                                      24E       1       1       0   .abs_section_24e
3032
     _PTM                                       250       1       1       0   .abs_section_250
3033
     _PTIM                                      251       1       1       0   .abs_section_251
3034
     _DDRM                                      252       1       1       0   .abs_section_252
3035
     _RDRM                                      253       1       1       0   .abs_section_253
3036
     _PERM                                      254       1       1       0   .abs_section_254
3037
     _PPSM                                      255       1       1       0   .abs_section_255
3038
     _WOMM                                      256       1       1       0   .abs_section_256
3039
     _MODRR                                     257       1       1       0   .abs_section_257
3040
     _PTP                                       258       1       1       0   .abs_section_258
3041
     _PTIP                                      259       1       1       0   .abs_section_259
3042
     _DDRP                                      25A       1       1       0   .abs_section_25a
3043
     _RDRP                                      25B       1       1       0   .abs_section_25b
3044
     _PERP                                      25C       1       1       0   .abs_section_25c
3045
     _PPSP                                      25D       1       1       0   .abs_section_25d
3046
     _PIEP                                      25E       1       1       0   .abs_section_25e
3047
     _PIFP                                      25F       1       1       0   .abs_section_25f
3048
     _PTH                                       260       1       1       0   .abs_section_260
3049
     _PTIH                                      261       1       1       0   .abs_section_261
3050
     _DDRH                                      262       1       1       0   .abs_section_262
3051
     _RDRH                                      263       1       1       0   .abs_section_263
3052
     _PERH                                      264       1       1       0   .abs_section_264
3053
     _PPSH                                      265       1       1       0   .abs_section_265
3054
     _PIEH                                      266       1       1       0   .abs_section_266
3055
     _PIFH                                      267       1       1       0   .abs_section_267
3056
     _PTJ                                       268       1       1       0   .abs_section_268
3057
     _PTIJ                                      269       1       1       0   .abs_section_269
3058
     _DDRJ                                      26A       1       1       0   .abs_section_26a
3059
     _RDRJ                                      26B       1       1       0   .abs_section_26b
3060
     _PERJ                                      26C       1       1       0   .abs_section_26c
3061
     _PPSJ                                      26D       1       1       0   .abs_section_26d
3062
     _PIEJ                                      26E       1       1       0   .abs_section_26e
3063
     _PIFJ                                      26F       1       1       0   .abs_section_26f
3064
     _CAN4CTL0                                  280       1       1       0   .abs_section_280
3065
     _CAN4CTL1                                  281       1       1       0   .abs_section_281
3066
     _CAN4BTR0                                  282       1       1       0   .abs_section_282
3067
     _CAN4BTR1                                  283       1       1       0   .abs_section_283
3068
     _CAN4RFLG                                  284       1       1       0   .abs_section_284
3069
     _CAN4RIER                                  285       1       1       0   .abs_section_285
3070
     _CAN4TFLG                                  286       1       1       0   .abs_section_286
3071
     _CAN4TIER                                  287       1       1       0   .abs_section_287
3072
     _CAN4TARQ                                  288       1       1       0   .abs_section_288
3073
     _CAN4TAAK                                  289       1       1       0   .abs_section_289
3074
     _CAN4TBSEL                                 28A       1       1       0   .abs_section_28a
3075
     _CAN4IDAC                                  28B       1       1       0   .abs_section_28b
3076
     _CAN4RXERR                                 28E       1       1       0   .abs_section_28e
3077
     _CAN4TXERR                                 28F       1       1       0   .abs_section_28f
3078
     _CAN4IDAR0                                 290       1       1       0   .abs_section_290
3079
     _CAN4IDAR1                                 291       1       1       0   .abs_section_291
3080
     _CAN4IDAR2                                 292       1       1       0   .abs_section_292
3081
     _CAN4IDAR3                                 293       1       1       0   .abs_section_293
3082
     _CAN4IDMR0                                 294       1       1       0   .abs_section_294
3083
     _CAN4IDMR1                                 295       1       1       0   .abs_section_295
3084
     _CAN4IDMR2                                 296       1       1       0   .abs_section_296
3085
     _CAN4IDMR3                                 297       1       1       0   .abs_section_297
3086
     _CAN4IDAR4                                 298       1       1       0   .abs_section_298
3087
     _CAN4IDAR5                                 299       1       1       0   .abs_section_299
3088
     _CAN4IDAR6                                 29A       1       1       0   .abs_section_29a
3089
     _CAN4IDAR7                                 29B       1       1       0   .abs_section_29b
3090
     _CAN4IDMR4                                 29C       1       1       0   .abs_section_29c
3091
     _CAN4IDMR5                                 29D       1       1       0   .abs_section_29d
3092
     _CAN4IDMR6                                 29E       1       1       0   .abs_section_29e
3093
     _CAN4IDMR7                                 29F       1       1       0   .abs_section_29f
3094
     _CAN4RXIDR0                                2A0       1       1       0   .abs_section_2a0
3095
     _CAN4RXIDR1                                2A1       1       1       0   .abs_section_2a1
3096
     _CAN4RXIDR2                                2A2       1       1       0   .abs_section_2a2
3097
     _CAN4RXIDR3                                2A3       1       1       0   .abs_section_2a3
3098
     _CAN4RXDSR0                                2A4       1       1       0   .abs_section_2a4
3099
     _CAN4RXDSR1                                2A5       1       1       0   .abs_section_2a5
3100
     _CAN4RXDSR2                                2A6       1       1       0   .abs_section_2a6
3101
     _CAN4RXDSR3                                2A7       1       1       0   .abs_section_2a7
3102
     _CAN4RXDSR4                                2A8       1       1       0   .abs_section_2a8
3103
     _CAN4RXDSR5                                2A9       1       1       0   .abs_section_2a9
3104
     _CAN4RXDSR6                                2AA       1       1       0   .abs_section_2aa
3105
     _CAN4RXDSR7                                2AB       1       1       0   .abs_section_2ab
3106
     _CAN4RXDLR                                 2AC       1       1       0   .abs_section_2ac
3107
     _CAN4TXIDR0                                2B0       1       1       0   .abs_section_2b0
3108
     _CAN4TXIDR1                                2B1       1       1       0   .abs_section_2b1
3109
     _CAN4TXIDR2                                2B2       1       1       0   .abs_section_2b2
3110
     _CAN4TXIDR3                                2B3       1       1       0   .abs_section_2b3
3111
     _CAN4TXDSR0                                2B4       1       1       0   .abs_section_2b4
3112
     _CAN4TXDSR1                                2B5       1       1       0   .abs_section_2b5
3113
     _CAN4TXDSR2                                2B6       1       1       0   .abs_section_2b6
3114
     _CAN4TXDSR3                                2B7       1       1       0   .abs_section_2b7
3115
     _CAN4TXDSR4                                2B8       1       1       0   .abs_section_2b8
3116
     _CAN4TXDSR5                                2B9       1       1       0   .abs_section_2b9
3117
     _CAN4TXDSR6                                2BA       1       1       0   .abs_section_2ba
3118
     _CAN4TXDSR7                                2BB       1       1       0   .abs_section_2bb
3119
     _CAN4TXDLR                                 2BC       1       1       0   .abs_section_2bc
3120
     _CAN4TXTBPR                                2BF       1       1       0   .abs_section_2bf
3121
     uxCriticalNesting                         1000       1       1     101   .data
3122
     xLocalError                               1001       1       1       2   .bss
3123
     xRxedChars                                1002       2       2       3   .bss
3124
     xCharsForTx                               1004       2       2       3   .bss
3125
     pxCurrentTCB                              1006       2       2      28   .bss
3126
     uxTasksDeleted                            1008       1       1       3   .bss
3127
     uxCurrentNumberOfTasks                    1009       1       1       5   .bss
3128
     xTickCount                                100A       2       2      14   .bss
3129
     uxTopUsedPriority                         100C       1       1       2   .bss
3130
     uxTopReadyPriority                        100D       1       1      15   .bss
3131
     xSchedulerRunning                         100E       1       1       3   .bss
3132
     uxSchedulerSuspended                      100F       1       1       6   .bss
3133
     uxMissedTicks                             1010       1       1       4   .bss
3134
     uxTaskNumber.1                            1011       1       1       2   .bss
3135
     pxReadyTasksLists                         1012      3C      60      11   .bss
3136
     xDelayedTaskList1                         104E       F      15       2   .bss
3137
     xDelayedTaskList2                         105D       F      15       2   .bss
3138
     pxDelayedTaskList                         106C       2       2       8   .bss
3139
     pxOverflowDelayedTaskList                 106E       2       2       6   .bss
3140
     xPendingReadyList                         1070       F      15       4   .bss
3141
     xTasksWaitingTermination                  107F       F      15       5   .bss
3142
     xSuspendedTaskList                        108E       F      15       2   .bss
3143
     xHeapHasBeenInitialised.1                 109D       1       1       2   .bss
3144
     xHeap                                     109E    2804   10244       2   .bss
3145
     xStart                                    38A2       4       4       6   .bss
3146
     xEnd                                      38A6       4       4       4   .bss
3147
     uxFlashTaskNumber                         38AA       1       1       2   .bss
3148
     usCheckVariable                           38AB       2       2       3   .bss
3149
     xSuspendedQueueSendError                  38AD       1       1       2   .bss
3150
     xSuspendedQueueReceiveError               38AE       1       1       3   .bss
3151
     ulValueToSend.6                           38AF       4       4       5   .bss
3152
     ulExpectedValue.7                         38B3       4       4       6   .bss
3153
     usLastTaskCheck.9                         38B7       2       2       2   .bss
3154
     xContinousIncrementHandle                 38B9       2       2       5   .bss
3155
     xLimitedIncrementHandle                   38BB       2       2       2   .bss
3156
     ulCounter                                 38BD       4       4      10   .bss
3157
     ulReceivedValue.8                         38C1       4       4       3   .bss
3158
     xPollingConsumerCount                     38C5       1       1       3   .bss
3159
     xPollingProducerCount                     38C6       1       1       3   .bss
3160
     xPolledQueue.1                            38C7       2       2       3   .bss
3161
     xPort                                     38C9       2       2       3   .bss
3162
     uxBaseLED                                 38CB       1       1       5   .bss
3163
     uxRxLoops                                 38CC       1       1       3   .bss
3164
     xTaskCheck                                38CD       1       1       3   .bss
3165
     sBlockingConsumerCount                    38CE       6       6       4   .bss
3166
     sBlockingProducerCount                    38D4       6       6       5   .bss
3167
     sLastBlockingConsumerCount.7              38DA       6       6       2   .bss
3168
     sLastBlockingProducerCount.8              38E0       6       6       2   .bss
3169
     usCreationCount                           38E6       2       2       4   .bss
3170
     uxTasksRunningAtStart                     38E8       1       1       4   .bss
3171
     usLastCreationCount.6                     38E9       2       2       2   .bss
3172
     uxTasksRunningNow.7                       38EB       1       1       1   .bss
3173
     xSuspendedTestQueue                       38EC       2       2       3   .common
3174
     xCreatedTask1                             38EE       2       2       2   .common
3175
     xCreatedTask2                             38F0       2       2       2   .common
3176
     CmpHighVal                                38F2       2       2       2   TickTimer_DATA
3177
     Byte1_Table                               38F4       8       8       2   Byte1_DATA
3178
     COM0_PrescHigh.1                          38FC       8       8       1   COM0_DATA
3179
     SerFlag                                   3904       2       2       1   COM0_DATA
3180
     PrescHigh                                 3906       2       2       2   COM0_DATA
3181
     NumMode                                   3908       1       1       2   COM0_DATA
3182
     _EntryPoint                               C000      2E      46       1   .init
3183
     PE_low_level_init                         C02E      4E      78       2   .init
3184
     STRING.Check.1                            C09A       6       6       1   .rodata1
3185
     STRING.IDLE.2                             C0A0       5       5       1   .rodata1
3186
     STRING.LEDx.1                             C0A5       5       5       1   .rodata1
3187
     STRING.CNT_INC.1                          C0AA       8       8       1   .rodata1
3188
     STRING.LIM_INC.2                          C0B2       8       8       1   .rodata1
3189
     STRING.C_CTRL.3                           C0BA       7       7       1   .rodata1
3190
     STRING.SUSP_TX.4                          C0C1       8       8       1   .rodata1
3191
     STRING.SUSP_RX.5                          C0C9       8       8       1   .rodata1
3192
     STRING.QConsNB.2                          C0D1       8       8       1   .rodata1
3193
     STRING.QProdNB.3                          C0D9       8       8       1   .rodata1
3194
     STRING.COMTx.1                            C0E1       6       6       1   .rodata1
3195
     STRING.COMRx.2                            C0E7       6       6       1   .rodata1
3196
     STRING.IntMath.1                          C0ED       8       8       1   .rodata1
3197
     STRING.QConsB1.1                          C0F5       8       8       1   .rodata1
3198
     STRING.QProdB2.2                          C0FD       8       8       1   .rodata1
3199
     STRING.QProdB3.3                          C105       8       8       1   .rodata1
3200
     STRING.QConsB4.4                          C10D       8       8       1   .rodata1
3201
     STRING.QProdB5.5                          C115       8       8       1   .rodata1
3202
     STRING.QConsB6.6                          C11D       8       8       1   .rodata1
3203
     STRING.CREATOR.1                          C125       8       8       1   .rodata1
3204
     STRING.SUICIDE1.2                         C12D       9       9       1   .rodata1
3205
     STRING.SUICIDE2.3                         C136       9       9       1   .rodata1
3206
     STRING.SUICIDE1.4                         C13F       9       9       1   .rodata1
3207
     STRING.SUICIDE2.5                         C148       9       9       1   .rodata1
3208
     _Startup                                  C151      12      18       1   NON_BANKED
3209
     _LCMP                                     C163      19      25       2   NON_BANKED
3210
     _LCMP_P                                   C17C      15      21       3   NON_BANKED
3211
     _LNEG                                     C191       D      13       2   NON_BANKED
3212
     _LINC                                     C19E       5       5       4   NON_BANKED
3213
     _LMUL                                     C1A3      27      39       1   NON_BANKED
3214
     _lDivMod                                  C1CA      E3     227       3   NON_BANKED
3215
     _LDIVU                                    C2AD       E      14       1   NON_BANKED
3216
     _NEG_P                                    C2BB       F      15       4   NON_BANKED
3217
     _LDIVS                                    C2CA      35      53       2   NON_BANKED
3218
     Cpu_Interrupt                             C2FF       1       1      60   NON_BANKED
3219
     vCOM0_ISR                                 C300      59      89       1   NON_BANKED
3220
     xBankedStartScheduler                     C359      1A      26       1   NON_BANKED
3221
     vPortYield                                C373      1D      29       1   NON_BANKED
3222
     vPortTickInterrupt                        C390      25      37       1   NON_BANKED
3223
     _BDMSTS                                   FF01       1       1       0   .abs_section_ff01
3224
     _BDMCCR                                   FF06       1       1       0   .abs_section_ff06
3225
     _BDMINR                                   FF07       1       1       0   .abs_section_ff07
3226
     _vect                                     FF80      80     128       0   .abs_section_ff80
3227
     Init                                    308000      29      41       2   .text
3228
     memcpy                                  308029      26      38       8   .text
3229
     memset                                  30804F      1E      30       2   .text
3230
     strncpy                                 30806D      2D      45       2   .text
3231
     main                                    30809A       9       9       0   .text
3232
     vMain                                   3080A3      52      82       1   .text
3233
     vErrorChecks                            3080F5      39      57       3   .text
3234
     prvCheckOtherTasksAreStillRunning       30812E      50      80       2   .text
3235
     vApplicationIdleHook                    30817E      70     112       2   .text
3236
     vParTestSetLED                          3081EE      23      35       4   .text
3237
     vParTestToggleLED                       308211      14      20      10   .text
3238
     xSerialPortInitMinimal                  308225      26      38       2   .text
3239
     xSerialGetChar                          318000      13      19       4   ROM_PAGE31_524
3240
     xSerialPutChar                          318013      18      24       2   ROM_PAGE31_524
3241
     xTaskCreate                             31802B      D5     213      48   ROM_PAGE31_524
3242
     vTaskDelete                             318100      4A      74       4   ROM_PAGE31_524
3243
     vTaskDelayUntil                         31814A      77     119       6   ROM_PAGE31_524
3244
     vTaskDelay                              3181C1      46      70      16   ROM_PAGE31_524
3245
     uxTaskPriorityGet                       318207      22      34       2   ROM_PAGE31_524
3246
     vTaskPrioritySet                        328000      69     105       4   ROM_PAGE32_525
3247
     vTaskSuspend                            328069      47      71       6   ROM_PAGE32_525
3248
     vTaskResume                             3280B0      5C      92       6   ROM_PAGE32_525
3249
     vTaskStartScheduler                     32810C      31      49       2   ROM_PAGE32_525
3250
     vTaskSuspendAll                         32813D      13      19      26   ROM_PAGE32_525
3251
     xTaskResumeAll                          328150      9F     159      30   ROM_PAGE32_525
3252
     xTaskGetTickCount                       3281EF      17      23       6   ROM_PAGE32_525
3253
     uxTaskGetNumberOfTasks                  328206      17      23       4   ROM_PAGE32_525
3254
     vTaskIncrementTick                      338000      84     132       4   ROM_PAGE33_526
3255
     vTaskSwitchContext                      338084      5B      91       4   ROM_PAGE33_526
3256
     vTaskPlaceOnEventList                   3380DF      41      65       4   ROM_PAGE33_526
3257
     xTaskRemoveFromEventList                338120      69     105       8   ROM_PAGE33_526
3258
     prvIdleTask                             338189      12      18       3   ROM_PAGE33_526
3259
     prvInitialiseTCBVariables               33819B      4D      77       2   ROM_PAGE33_526
3260
     prvInitialiseTaskLists                  3381E8      3C      60       2   ROM_PAGE33_526
3261
     prvCheckTasksWaitingTermination         348000      53      83       2   ROM_PAGE34_527
3262
     prvAllocateTCBAndStack                  348053      3D      61       2   ROM_PAGE34_527
3263
     prvDeleteTCB                            348090       F      15       2   ROM_PAGE34_527
3264
     xQueueCreate                            34809F      7B     123      14   ROM_PAGE34_527
3265
     xQueueSend                              34811A      D4     212       9   ROM_PAGE34_527
3266
     xQueueSendFromISR                       3481EE      5D      93       2   ROM_PAGE34_527
3267
     xQueueReceive                           358000      CF     207       9   ROM_PAGE35_528
3268
     xQueueReceiveFromISR                    3580CF      61      97       2   ROM_PAGE35_528
3269
     uxQueueMessagesWaiting                  358130      1B      27       2   ROM_PAGE35_528
3270
     prvUnlockQueue                          35814B      71     113       8   ROM_PAGE35_528
3271
     prvIsQueueEmpty                         3581BC      22      34       2   ROM_PAGE35_528
3272
     prvIsQueueFull                          3581DE      25      37       2   ROM_PAGE35_528
3273
     vListInitialise                         358203      20      32       6   ROM_PAGE35_528
3274
     vListInitialiseItem                     358223       7       7       6   ROM_PAGE35_528
3275
     vListInsertEnd                          35822A      25      37      16   ROM_PAGE35_528
3276
     vListInsert                             368000      55      85       8   ROM_PAGE36_529
3277
     vListRemove                             368055      23      35      32   ROM_PAGE36_529
3278
     pvPortMalloc                            368078      C1     193      14   ROM_PAGE36_529
3279
     vPortFree                               368139      3B      59      10   ROM_PAGE36_529
3280
     vStartLEDFlashTasks                     368174      32      50       2   ROM_PAGE36_529
3281
     vLEDFlashTask                           3681A6      57      87       3   ROM_PAGE36_529
3282
     vStartDynamicPriorityTasks              378000      9B     155       2   ROM_PAGE37_530
3283
     vLimitedIncrementTask                   37809B      27      39       3   ROM_PAGE37_530
3284
     vContinuousIncrementTask                3780C2      38      56       3   ROM_PAGE37_530
3285
     vCounterControlTask                     3780FA      A0     160       5   ROM_PAGE37_530
3286
     vQueueSendWhenSuspendedTask             37819A      38      56       3   ROM_PAGE37_530
3287
     vQueueReceiveWhenSuspendedTask          3781D2      54      84       3   ROM_PAGE37_530
3288
     xAreDynamicPriorityTasksStillRunning     378226      2B      43       2   ROM_PAGE37_530
3289
     vStartPolledQueueTasks                  388000      4B      75       2   ROM_PAGE38_531
3290
     vPolledQueueProducer                    38804B      4F      79       3   ROM_PAGE38_531
3291
     vPolledQueueConsumer                    38809A      5C      92       3   ROM_PAGE38_531
3292
     xArePollingQueuesStillRunning           3880F6      16      22       2   ROM_PAGE38_531
3293
     PE_Timer_LngHi1                         38810C      4A      74       2   ROM_PAGE38_531
3294
     vAltStartComTestTasks                   388156      4D      77       2   ROM_PAGE38_531
3295
     vComTxTask                              3881A3      4F      79       3   ROM_PAGE38_531
3296
     vComRxTask                              398000      7B     123       3   ROM_PAGE39_532
3297
     xAreComTestTasksStillRunning            39807B       D      13       2   ROM_PAGE39_532
3298
     pxPortInitialiseStack                   398088      31      49       2   ROM_PAGE39_532
3299
     xPortStartScheduler                     3980B9       4       4       2   ROM_PAGE39_532
3300
     vStartIntegerMathTasks                  3980BD      33      51       2   ROM_PAGE39_532
3301
     vCompeteingIntMathTask                  3980F0      87     135       3   ROM_PAGE39_532
3302
     xAreIntegerMathsTaskStillRunning        398177      20      32       2   ROM_PAGE39_532
3303
     vStartBlockingQueueTasks                3A8000     143     323       7   ROM_PAGE3A_533
3304
     vBlockingQueueProducer                  3A8143      3F      63       9   ROM_PAGE3A_533
3305
     vBlockingQueueConsumer                  3A8182      47      71       9   ROM_PAGE3A_533
3306
     xAreBlockingQueuesStillRunning          3A81C9      52      82       2   ROM_PAGE3A_533
3307
     vCreateSuicidalTasks                    3A821B      31      49       2   ROM_PAGE3A_533
3308
     vSuicidalTask                           3B8000      58      88      12   ROM_PAGE3B_534
3309
     vCreateTasks                            3B8058      92     146       4   ROM_PAGE3B_534
3310
     xIsCreateTaskStillRunning               3B80EA      3A      58       2   ROM_PAGE3B_534
3311
     TickTimer_Enable                        3B8124       9       9       2   TickTimer_CODE
3312
     TickTimer_SetFreqHz                     3B812D      56      86       2   TickTimer_CODE
3313
     TickTimer_Init                          3B8183      1C      28       2   TickTimer_CODE
3314
     Byte1_PutBit                            3B819F      21      33       2   Byte1_CODE
3315
     Byte1_NegBit                            3B81C0      14      20       2   Byte1_CODE
3316
     COM0_SetBaudRateMode                    3B81D4      19      25       2   COM0_CODE
3317
     COM0_Init                               3B81ED      25      37       2   COM0_CODE
3318
 
3319
*********************************************************************************************
3320
UNUSED-OBJECTS SECTION
3321
---------------------------------------------------------------------------------------------
3322
NOT USED PROCEDURES
3323
STRING.C.o (ansibi.lib):
3324
  strerror memchr memcmp memcpy2 _memcpy_8bitCount memmove
3325
  _memset_clear_8bitCount strlen strset strcat strncat strcpy strcmp strncmp
3326
  strchr strrchr strspn strcspn strpbrk strstr strtok strcoll strxfrm
3327
rtshc12.c.o (ansibi.lib):
3328
  _BSHL _BSHRS _BSHRU _BDIVMODU _BDIVMODS _ISHL _ISHRU _ISHRS _LSHL _LSHRU
3329
  _LSHRS _LADD _LSUB _LAND _LOR _LXOR _LCMP_PP _LABS _LCOM _LDEC _LMODU _LMODS
3330
  _ILSEXT _LTEST _COPY _CASE_DIRECT _CASE_DIRECT_BYTE _CASE_CHECKED
3331
  _CASE_CHECKED_BYTE _CASE_SEARCH _CASE_SEARCH_BYTE _CASE_SEARCH_8
3332
  _CASE_SEARCH_8_BYTE _FCALL _FPCMP
3333
serial.c.o:
3334
  vSerialClose
3335
tasks.c.o:
3336
  vTaskEndScheduler
3337
queue.c.o:
3338
  vQueueDelete
3339
TickTimer.C.o:
3340
  TickTimer_Interrupt SetCV SetPV HWEnDi TickTimer_SetPeriodTicks16
3341
  TickTimer_SetPeriodTicks32 TickTimer_SetPeriodUS TickTimer_SetPeriodMS
3342
Byte1.C.o:
3343
  Byte1_GetMsk
3344
PE_Timer.C.o:
3345
  PE_Timer_LngMul PE_Timer_LngHi2 PE_Timer_LngHi3 PE_Timer_LngHi4
3346
COM0.C.o:
3347
  HWEnDi
3348
port.c.o:
3349
  vPortEndScheduler prvSetupTimerInterrupt
3350
NOT USED VARIABLES
3351
STRING.C.o (ansibi.lib):
3352
  STRING..1 next.2
3353
rtshc12.c.o (ansibi.lib):
3354
  _PowOfTwo_8 _PowOfTwo_16 _PowOfTwo_32
3355
Cpu.C.o:
3356
  CpuMode CCR_reg
3357
heap_2.c.o:
3358
  heapSTRUCT_SIZE
3359
death.c.o:
3360
  uxMaxNumberOfExtraTasksRunning
3361
 
3362
*********************************************************************************************
3363
COPYDOWN SECTION
3364
---------------------------------------------------------------------------------------------
3365
------- ROM-ADDRESS: 0xC3B5 ---- SIZE       4 ---
3366
Filling bytes inserted
3367
 00011000
3368
------- ROM-ADDRESS: 0xC3B9 ---- RAM-ADDRESS: 0x1000 ---- SIZE       1 ---
3369
Name of initialized Object : uxCriticalNesting
3370
 FF
3371
------- ROM-ADDRESS: 0xC3BA ---- SIZE       4 ---
3372
Filling bytes inserted
3373
 001038F4
3374
------- ROM-ADDRESS: 0xC3BE ---- RAM-ADDRESS: 0x38F4 ---- SIZE       8 ---
3375
Name of initialized Object : Byte1_Table
3376
 0102040810 204080
3377
------- ROM-ADDRESS: 0xC3C6 ---- SIZE       1 ---
3378
Filling bytes inserted
3379
 00
3380
------- ROM-ADDRESS: 0xC3C7 ---- RAM-ADDRESS: 0x38FD ---- SIZE       7 ---
3381
Name of initialized Object : COM0_PrescHigh.1:1
3382
 29005100A3 0146
3383
------- ROM-ADDRESS: 0xC3CE ---- SIZE       2 ---
3384
Filling bytes inserted
3385
 0000
3386
 
3387
*********************************************************************************************
3388
OBJECT-DEPENDENCIES SECTION
3389
---------------------------------------------------------------------------------------------
3390
_EntryPoint               USES _INITRM _INITEE _MISC _CLKSEL _PLLCTL _SYNR
3391
                                _REFDV _CRGFLG _Startup
3392
PE_low_level_init         USES _TSCR1 _TCTL2 _TCTL1 _TIE _TTOV _TSCR2 _TIOS
3393
                                _PWMCTL _PWMSDN _ICSYS _MCCTL TickTimer_Init _PORTAB
3394
                                _DDRAB _DDRS _PTS COM0_Init _INTCR
3395
_Startup                  USES _startupData Init
3396
_LDIVU                    USES _lDivMod
3397
_LDIVS                    USES _NEG_P _lDivMod
3398
vCOM0_ISR                 USES _SCI0SR1 _SCI0DRL xRxedChars xQueueSendFromISR
3399
                                _SCI0CR2 xCharsForTx xQueueReceiveFromISR
3400
xBankedStartScheduler     USES TickTimer_SetFreqHz TickTimer_Enable
3401
                                pxCurrentTCB uxCriticalNesting
3402
vPortYield                USES uxCriticalNesting pxCurrentTCB
3403
                                vTaskSwitchContext
3404
vPortTickInterrupt        USES uxCriticalNesting pxCurrentTCB
3405
                                vTaskIncrementTick vTaskSwitchContext _TFLG1
3406
_vect                     USES Cpu_Interrupt vCOM0_ISR vPortTickInterrupt
3407
                                vPortYield _EntryPoint
3408
Init                      USES _startupData
3409
main                      USES PE_low_level_init vMain
3410
vMain                     USES vStartLEDFlashTasks vStartPolledQueueTasks
3411
                                vStartDynamicPriorityTasks vAltStartComTestTasks vStartBlockingQueueTasks
3412
                                vStartIntegerMathTasks vCreateSuicidalTasks vErrorChecks
3413
                                STRING.Check.1 xTaskCreate vTaskStartScheduler
3414
vErrorChecks              USES xTaskGetTickCount vTaskDelayUntil
3415
                                prvCheckOtherTasksAreStillRunning _LCMP vParTestToggleLED
3416
prvCheckOtherTasksAreStillRunning USES xArePollingQueuesStillRunning
3417
                                xAreDynamicPriorityTasksStillRunning xAreComTestTasksStillRunning
3418
                                xAreIntegerMathsTaskStillRunning xAreBlockingQueuesStillRunning
3419
                                xIsCreateTaskStillRunning xLocalError
3420
vApplicationIdleHook      USES _LNEG _LDIVS _LCMP_P uxCriticalNesting
3421
                                xLocalError
3422
vParTestSetLED            USES uxCriticalNesting Byte1_PutBit
3423
vParTestToggleLED         USES uxCriticalNesting Byte1_NegBit
3424
xSerialPortInitMinimal    USES xQueueCreate xRxedChars xCharsForTx
3425
                                COM0_SetBaudRateMode
3426
xSerialGetChar            USES xRxedChars xQueueReceive
3427
xSerialPutChar            USES xCharsForTx xQueueSend _SCI0CR2
3428
xTaskCreate               USES prvAllocateTCBAndStack
3429
                                prvInitialiseTCBVariables pxPortInitialiseStack uxCriticalNesting
3430
                                uxCurrentNumberOfTasks pxCurrentTCB prvInitialiseTaskLists
3431
                                xSchedulerRunning uxTopUsedPriority uxTaskNumber.1
3432
                                uxTopReadyPriority pxReadyTasksLists vListInsertEnd
3433
vTaskDelete               USES uxCriticalNesting pxCurrentTCB vListRemove
3434
                                xTasksWaitingTermination vListInsertEnd uxTasksDeleted
3435
vTaskDelayUntil           USES vTaskSuspendAll xTickCount pxCurrentTCB
3436
                                vListRemove pxOverflowDelayedTaskList pxDelayedTaskList
3437
                                vListInsert xTaskResumeAll
3438
vTaskDelay                USES vTaskSuspendAll xTickCount pxCurrentTCB
3439
                                vListRemove pxOverflowDelayedTaskList pxDelayedTaskList
3440
                                vListInsert xTaskResumeAll
3441
uxTaskPriorityGet         USES uxCriticalNesting pxCurrentTCB
3442
vTaskPrioritySet          USES uxCriticalNesting pxCurrentTCB
3443
                                pxReadyTasksLists vListRemove uxTopReadyPriority vListInsertEnd
3444
vTaskSuspend              USES uxCriticalNesting pxCurrentTCB vListRemove
3445
                                xSuspendedTaskList vListInsertEnd
3446
vTaskResume               USES uxCriticalNesting pxCurrentTCB vListRemove
3447
                                uxTopReadyPriority pxReadyTasksLists vListInsertEnd
3448
vTaskStartScheduler       USES pxCurrentTCB prvIdleTask STRING.IDLE.2
3449
                                xTaskCreate xSchedulerRunning xTickCount
3450
                                xPortStartScheduler
3451
vTaskSuspendAll           USES uxCriticalNesting uxSchedulerSuspended
3452
xTaskResumeAll            USES uxCriticalNesting uxSchedulerSuspended
3453
                                uxCurrentNumberOfTasks vListRemove uxTopReadyPriority
3454
                                pxReadyTasksLists vListInsertEnd pxCurrentTCB xPendingReadyList
3455
                                uxMissedTicks vTaskIncrementTick
3456
xTaskGetTickCount         USES uxCriticalNesting xTickCount
3457
uxTaskGetNumberOfTasks    USES uxCriticalNesting uxCurrentNumberOfTasks
3458
vTaskIncrementTick        USES uxSchedulerSuspended xTickCount
3459
                                pxDelayedTaskList pxOverflowDelayedTaskList vListRemove
3460
                                uxTopReadyPriority pxReadyTasksLists vListInsertEnd uxMissedTicks
3461
vTaskSwitchContext        USES uxSchedulerSuspended uxTopReadyPriority
3462
                                pxCurrentTCB pxReadyTasksLists
3463
vTaskPlaceOnEventList     USES pxCurrentTCB vListInsert xTickCount vListRemove
3464
                                pxOverflowDelayedTaskList pxDelayedTaskList
3465
xTaskRemoveFromEventList  USES vListRemove uxSchedulerSuspended
3466
                                uxTopReadyPriority pxReadyTasksLists xPendingReadyList
3467
                                vListInsertEnd pxCurrentTCB
3468
prvIdleTask               USES prvCheckTasksWaitingTermination
3469
                                pxReadyTasksLists vApplicationIdleHook
3470
prvInitialiseTCBVariables USES strncpy vListInitialiseItem
3471
prvInitialiseTaskLists    USES pxReadyTasksLists xDelayedTaskList1
3472
                                xDelayedTaskList2 xPendingReadyList xTasksWaitingTermination
3473
                                xSuspendedTaskList pxDelayedTaskList pxOverflowDelayedTaskList
3474
                                vListInitialise
3475
prvCheckTasksWaitingTermination USES uxTasksDeleted vTaskSuspendAll
3476
                                xTasksWaitingTermination xTaskResumeAll uxCriticalNesting vListRemove
3477
                                uxCurrentNumberOfTasks prvDeleteTCB
3478
prvAllocateTCBAndStack    USES pvPortMalloc vPortFree memset
3479
prvDeleteTCB              USES vPortFree
3480
xQueueCreate              USES pvPortMalloc vListInitialise vPortFree
3481
xQueueSend                USES vTaskSuspendAll uxCriticalNesting xQueueSend
3482
                                prvIsQueueFull vTaskPlaceOnEventList prvUnlockQueue
3483
                                xTaskResumeAll memcpy
3484
xQueueSendFromISR         USES memcpy xTaskRemoveFromEventList
3485
xQueueReceive             USES vTaskSuspendAll uxCriticalNesting xQueueReceive
3486
                                prvIsQueueEmpty vTaskPlaceOnEventList prvUnlockQueue
3487
                                xTaskResumeAll memcpy
3488
xQueueReceiveFromISR      USES memcpy xTaskRemoveFromEventList
3489
uxQueueMessagesWaiting    USES uxCriticalNesting
3490
prvUnlockQueue            USES uxCriticalNesting xTaskRemoveFromEventList
3491
prvIsQueueEmpty           USES uxCriticalNesting
3492
prvIsQueueFull            USES uxCriticalNesting
3493
vListInitialise           USES vListInitialiseItem
3494
pvPortMalloc              USES vTaskSuspendAll xHeapHasBeenInitialised.1 xHeap
3495
                                xStart xEnd xTaskResumeAll
3496
vPortFree                 USES vTaskSuspendAll xStart xTaskResumeAll
3497
vStartLEDFlashTasks       USES vLEDFlashTask STRING.LEDx.1 xTaskCreate
3498
vLEDFlashTask             USES uxCriticalNesting uxFlashTaskNumber
3499
                                xTaskGetTickCount vTaskDelayUntil vParTestToggleLED
3500
vStartDynamicPriorityTasks USES xQueueCreate xSuspendedTestQueue
3501
                                vContinuousIncrementTask STRING.CNT_INC.1 ulCounter
3502
                                xContinousIncrementHandle xTaskCreate vLimitedIncrementTask
3503
                                STRING.LIM_INC.2 xLimitedIncrementHandle vCounterControlTask
3504
                                STRING.C_CTRL.3 vQueueSendWhenSuspendedTask STRING.SUSP_TX.4
3505
                                vQueueReceiveWhenSuspendedTask STRING.SUSP_RX.5
3506
vLimitedIncrementTask     USES _LINC _LCMP_P vTaskSuspend
3507
vContinuousIncrementTask  USES uxTaskPriorityGet vTaskPrioritySet _LINC
3508
vCounterControlTask       USES vCounterControlTask xContinousIncrementHandle
3509
                                vTaskSuspend ulCounter vTaskResume vTaskDelay
3510
                                vTaskSuspendAll xTaskResumeAll xLimitedIncrementHandle
3511
                                uxCriticalNesting usCheckVariable
3512
vQueueSendWhenSuspendedTask USES vTaskSuspendAll xSuspendedTestQueue
3513
                                ulValueToSend.6 xQueueSend xSuspendedQueueSendError
3514
                                xTaskResumeAll vTaskDelay _LINC
3515
vQueueReceiveWhenSuspendedTask USES vTaskSuspendAll xSuspendedTestQueue
3516
                                ulReceivedValue.8 xQueueReceive xTaskResumeAll
3517
                                xSuspendedQueueReceiveError ulExpectedValue.7 _LINC
3518
xAreDynamicPriorityTasksStillRunning USES usCheckVariable usLastTaskCheck.9
3519
                                xSuspendedQueueSendError xSuspendedQueueReceiveError
3520
vStartPolledQueueTasks    USES xQueueCreate xPolledQueue.1
3521
                                vPolledQueueConsumer STRING.QConsNB.2 xTaskCreate
3522
                                vPolledQueueProducer STRING.QProdNB.3
3523
vPolledQueueProducer      USES xQueueSend uxCriticalNesting
3524
                                xPollingProducerCount vTaskDelay
3525
vPolledQueueConsumer      USES xQueueReceive uxCriticalNesting
3526
                                xPollingConsumerCount uxQueueMessagesWaiting vTaskDelay
3527
xArePollingQueuesStillRunning USES xPollingConsumerCount xPollingProducerCount
3528
PE_Timer_LngHi1           USES _LCMP
3529
vAltStartComTestTasks     USES uxBaseLED xSerialPortInitMinimal vComTxTask
3530
                                STRING.COMTx.1 xTaskCreate vComRxTask STRING.COMRx.2
3531
vComTxTask                USES xPort xSerialPutChar uxBaseLED
3532
                                vParTestToggleLED vParTestSetLED xTaskGetTickCount vTaskDelay
3533
vComRxTask                USES xPort xSerialGetChar uxBaseLED
3534
                                vParTestToggleLED vParTestSetLED uxRxLoops
3535
xAreComTestTasksStillRunning USES uxRxLoops
3536
xPortStartScheduler       USES xBankedStartScheduler
3537
vStartIntegerMathTasks    USES vCompeteingIntMathTask STRING.IntMath.1
3538
                                xTaskCheck xTaskCreate
3539
vCompeteingIntMathTask    USES _LNEG _LDIVS _LCMP_P uxCriticalNesting
3540
xAreIntegerMathsTaskStillRunning USES xTaskCheck
3541
vStartBlockingQueueTasks  USES vStartBlockingQueueTasks xQueueCreate
3542
                                sBlockingConsumerCount sBlockingProducerCount vBlockingQueueConsumer
3543
                                STRING.QConsB1.1 xTaskCreate vBlockingQueueProducer
3544
                                STRING.QProdB2.2 STRING.QProdB3.3 STRING.QConsB4.4
3545
                                STRING.QProdB5.5 STRING.QConsB6.6 pvPortMalloc
3546
vBlockingQueueProducer    USES xQueueSend
3547
vBlockingQueueConsumer    USES xQueueReceive
3548
xAreBlockingQueuesStillRunning USES sLastBlockingConsumerCount.7
3549
                                sBlockingProducerCount sLastBlockingProducerCount.8
3550
                                sBlockingConsumerCount
3551
vCreateSuicidalTasks      USES pvPortMalloc vCreateTasks STRING.CREATOR.1
3552
                                xTaskCreate uxTaskGetNumberOfTasks uxTasksRunningAtStart
3553
vSuicidalTask             USES _LMUL vTaskDelay vTaskDelete
3554
vCreateTasks              USES vPortFree vTaskDelay vSuicidalTask
3555
                                STRING.SUICIDE1.2 xCreatedTask1 xTaskCreate STRING.SUICIDE2.3
3556
                                STRING.SUICIDE1.4 xCreatedTask2 STRING.SUICIDE2.5
3557
                                usCreationCount vCreateTasks
3558
xIsCreateTaskStillRunning USES usLastCreationCount.6 usCreationCount
3559
                                uxTaskGetNumberOfTasks uxTasksRunningNow.7 uxTasksRunningAtStart
3560
TickTimer_Enable          USES _TFLG1 _TIE
3561
TickTimer_SetFreqHz       USES _LDIVU PE_Timer_LngHi1 CmpHighVal _TC0 _TC7
3562
TickTimer_Init            USES CmpHighVal _TC0 _TC7 _TSCR2 _TFLG1 _TIE
3563
Byte1_PutBit              USES Byte1_Table _PORTAB
3564
Byte1_NegBit              USES Byte1_Table _PORTAB
3565
COM0_SetBaudRateMode      USES NumMode COM0_PrescHigh.1 PrescHigh _SCI0BD
3566
COM0_Init                 USES PrescHigh SerFlag NumMode _SCI0CR1 _SCI0SR2
3567
                                _SCI0SR1 _SCI0CR2 _SCI0BD
3568
 
3569
*********************************************************************************************
3570
DEPENDENCY TREE
3571
*********************************************************************************************
3572
 main and _Startup Group
3573
 |
3574
 +- main
3575
 |  |
3576
 |  +- PE_low_level_init
3577
 |  |  |
3578
 |  |  +- TickTimer_Init
3579
 |  |  |
3580
 |  |  +- COM0_Init
3581
 |  |
3582
 |  +- vMain
3583
 |     |
3584
 |     +- vStartLEDFlashTasks
3585
 |     |  |
3586
 |     |  +- vLEDFlashTask
3587
 |     |  |  |
3588
 |     |  |  +- xTaskGetTickCount
3589
 |     |  |  |
3590
 |     |  |  +- vTaskDelayUntil
3591
 |     |  |  |  |
3592
 |     |  |  |  +- vTaskSuspendAll
3593
 |     |  |  |  |
3594
 |     |  |  |  +- vListRemove
3595
 |     |  |  |  |
3596
 |     |  |  |  +- vListInsert
3597
 |     |  |  |  |
3598
 |     |  |  |  +- xTaskResumeAll
3599
 |     |  |  |     |
3600
 |     |  |  |     +- vListRemove          (see above)
3601
 |     |  |  |     |
3602
 |     |  |  |     +- vListInsertEnd
3603
 |     |  |  |     |
3604
 |     |  |  |     +- vTaskIncrementTick
3605
 |     |  |  |        |
3606
 |     |  |  |        +- vListRemove          (see above)
3607
 |     |  |  |        |
3608
 |     |  |  |        +- vListInsertEnd       (see above)
3609
 |     |  |  |
3610
 |     |  |  +- vParTestToggleLED
3611
 |     |  |     |
3612
 |     |  |     +- Byte1_NegBit
3613
 |     |  |
3614
 |     |  +- xTaskCreate
3615
 |     |     |
3616
 |     |     +- prvAllocateTCBAndStack
3617
 |     |     |  |
3618
 |     |     |  +- pvPortMalloc
3619
 |     |     |  |  |
3620
 |     |     |  |  +- vTaskSuspendAll      (see above)
3621
 |     |     |  |  |
3622
 |     |     |  |  +- xTaskResumeAll       (see above)
3623
 |     |     |  |
3624
 |     |     |  +- vPortFree
3625
 |     |     |  |  |
3626
 |     |     |  |  +- vTaskSuspendAll      (see above)
3627
 |     |     |  |  |
3628
 |     |     |  |  +- xTaskResumeAll       (see above)
3629
 |     |     |  |
3630
 |     |     |  +- memset
3631
 |     |     |
3632
 |     |     +- prvInitialiseTCBVariables
3633
 |     |     |  |
3634
 |     |     |  +- strncpy
3635
 |     |     |  |
3636
 |     |     |  +- vListInitialiseItem
3637
 |     |     |
3638
 |     |     +- pxPortInitialiseStack
3639
 |     |     |
3640
 |     |     +- prvInitialiseTaskLists
3641
 |     |     |  |
3642
 |     |     |  +- vListInitialise
3643
 |     |     |     |
3644
 |     |     |     +- vListInitialiseItem  (see above)
3645
 |     |     |
3646
 |     |     +- vListInsertEnd       (see above)
3647
 |     |
3648
 |     +- vStartPolledQueueTasks
3649
 |     |  |
3650
 |     |  +- xQueueCreate
3651
 |     |  |  |
3652
 |     |  |  +- pvPortMalloc         (see above)
3653
 |     |  |  |
3654
 |     |  |  +- vListInitialise      (see above)
3655
 |     |  |  |
3656
 |     |  |  +- vPortFree            (see above)
3657
 |     |  |
3658
 |     |  +- vPolledQueueConsumer
3659
 |     |  |  |
3660
 |     |  |  +- xQueueReceive
3661
 |     |  |  |  |
3662
 |     |  |  |  +- vTaskSuspendAll      (see above)
3663
 |     |  |  |  |
3664
 |     |  |  |  +- prvIsQueueEmpty
3665
 |     |  |  |  |
3666
 |     |  |  |  +- vTaskPlaceOnEventList
3667
 |     |  |  |  |  |
3668
 |     |  |  |  |  +- vListInsert          (see above)
3669
 |     |  |  |  |  |
3670
 |     |  |  |  |  +- vListRemove          (see above)
3671
 |     |  |  |  |
3672
 |     |  |  |  +- prvUnlockQueue
3673
 |     |  |  |  |  |
3674
 |     |  |  |  |  +- xTaskRemoveFromEventList
3675
 |     |  |  |  |     |
3676
 |     |  |  |  |     +- vListRemove          (see above)
3677
 |     |  |  |  |     |
3678
 |     |  |  |  |     +- vListInsertEnd       (see above)
3679
 |     |  |  |  |
3680
 |     |  |  |  +- xTaskResumeAll       (see above)
3681
 |     |  |  |  |
3682
 |     |  |  |  +- memcpy
3683
 |     |  |  |
3684
 |     |  |  +- uxQueueMessagesWaiting
3685
 |     |  |  |
3686
 |     |  |  +- vTaskDelay
3687
 |     |  |     |
3688
 |     |  |     +- vTaskSuspendAll      (see above)
3689
 |     |  |     |
3690
 |     |  |     +- vListRemove          (see above)
3691
 |     |  |     |
3692
 |     |  |     +- vListInsert          (see above)
3693
 |     |  |     |
3694
 |     |  |     +- xTaskResumeAll       (see above)
3695
 |     |  |
3696
 |     |  +- xTaskCreate          (see above)
3697
 |     |  |
3698
 |     |  +- vPolledQueueProducer
3699
 |     |     |
3700
 |     |     +- xQueueSend
3701
 |     |     |  |
3702
 |     |     |  +- vTaskSuspendAll      (see above)
3703
 |     |     |  |
3704
 |     |     |  +- prvIsQueueFull
3705
 |     |     |  |
3706
 |     |     |  +- vTaskPlaceOnEventList (see above)
3707
 |     |     |  |
3708
 |     |     |  +- prvUnlockQueue       (see above)
3709
 |     |     |  |
3710
 |     |     |  +- xTaskResumeAll       (see above)
3711
 |     |     |  |
3712
 |     |     |  +- memcpy               (see above)
3713
 |     |     |
3714
 |     |     +- vTaskDelay           (see above)
3715
 |     |
3716
 |     +- vStartDynamicPriorityTasks
3717
 |     |  |
3718
 |     |  +- xQueueCreate         (see above)
3719
 |     |  |
3720
 |     |  +- vContinuousIncrementTask
3721
 |     |  |  |
3722
 |     |  |  +- uxTaskPriorityGet
3723
 |     |  |  |
3724
 |     |  |  +- vTaskPrioritySet
3725
 |     |  |  |  |
3726
 |     |  |  |  +- vListRemove          (see above)
3727
 |     |  |  |  |
3728
 |     |  |  |  +- vListInsertEnd       (see above)
3729
 |     |  |  |
3730
 |     |  |  +- _LINC
3731
 |     |  |
3732
 |     |  +- xTaskCreate          (see above)
3733
 |     |  |
3734
 |     |  +- vLimitedIncrementTask
3735
 |     |  |  |
3736
 |     |  |  +- _LINC                (see above)
3737
 |     |  |  |
3738
 |     |  |  +- _LCMP_P
3739
 |     |  |  |
3740
 |     |  |  +- vTaskSuspend
3741
 |     |  |     |
3742
 |     |  |     +- vListRemove          (see above)
3743
 |     |  |     |
3744
 |     |  |     +- vListInsertEnd       (see above)
3745
 |     |  |
3746
 |     |  +- vCounterControlTask
3747
 |     |  |  |
3748
 |     |  |  +- vTaskSuspend         (see above)
3749
 |     |  |  |
3750
 |     |  |  +- vTaskResume
3751
 |     |  |  |  |
3752
 |     |  |  |  +- vListRemove          (see above)
3753
 |     |  |  |  |
3754
 |     |  |  |  +- vListInsertEnd       (see above)
3755
 |     |  |  |
3756
 |     |  |  +- vTaskDelay           (see above)
3757
 |     |  |  |
3758
 |     |  |  +- vTaskSuspendAll      (see above)
3759
 |     |  |  |
3760
 |     |  |  +- xTaskResumeAll       (see above)
3761
 |     |  |
3762
 |     |  +- vQueueSendWhenSuspendedTask
3763
 |     |  |  |
3764
 |     |  |  +- vTaskSuspendAll      (see above)
3765
 |     |  |  |
3766
 |     |  |  +- xQueueSend           (see above)
3767
 |     |  |  |
3768
 |     |  |  +- xTaskResumeAll       (see above)
3769
 |     |  |  |
3770
 |     |  |  +- vTaskDelay           (see above)
3771
 |     |  |  |
3772
 |     |  |  +- _LINC                (see above)
3773
 |     |  |
3774
 |     |  +- vQueueReceiveWhenSuspendedTask
3775
 |     |     |
3776
 |     |     +- vTaskSuspendAll      (see above)
3777
 |     |     |
3778
 |     |     +- xQueueReceive        (see above)
3779
 |     |     |
3780
 |     |     +- xTaskResumeAll       (see above)
3781
 |     |     |
3782
 |     |     +- _LINC                (see above)
3783
 |     |
3784
 |     +- vAltStartComTestTasks
3785
 |     |  |
3786
 |     |  +- xSerialPortInitMinimal
3787
 |     |  |  |
3788
 |     |  |  +- xQueueCreate         (see above)
3789
 |     |  |  |
3790
 |     |  |  +- COM0_SetBaudRateMode
3791
 |     |  |
3792
 |     |  +- vComTxTask
3793
 |     |  |  |
3794
 |     |  |  +- xSerialPutChar
3795
 |     |  |  |  |
3796
 |     |  |  |  +- xQueueSend           (see above)
3797
 |     |  |  |
3798
 |     |  |  +- vParTestToggleLED    (see above)
3799
 |     |  |  |
3800
 |     |  |  +- vParTestSetLED
3801
 |     |  |  |  |
3802
 |     |  |  |  +- Byte1_PutBit
3803
 |     |  |  |
3804
 |     |  |  +- xTaskGetTickCount    (see above)
3805
 |     |  |  |
3806
 |     |  |  +- vTaskDelay           (see above)
3807
 |     |  |
3808
 |     |  +- xTaskCreate          (see above)
3809
 |     |  |
3810
 |     |  +- vComRxTask
3811
 |     |     |
3812
 |     |     +- xSerialGetChar
3813
 |     |     |  |
3814
 |     |     |  +- xQueueReceive        (see above)
3815
 |     |     |
3816
 |     |     +- vParTestToggleLED    (see above)
3817
 |     |     |
3818
 |     |     +- vParTestSetLED       (see above)
3819
 |     |
3820
 |     +- vStartBlockingQueueTasks
3821
 |     |  |
3822
 |     |  +- xQueueCreate         (see above)
3823
 |     |  |
3824
 |     |  +- vBlockingQueueConsumer
3825
 |     |  |  |
3826
 |     |  |  +- xQueueReceive        (see above)
3827
 |     |  |
3828
 |     |  +- xTaskCreate          (see above)
3829
 |     |  |
3830
 |     |  +- vBlockingQueueProducer
3831
 |     |  |  |
3832
 |     |  |  +- xQueueSend           (see above)
3833
 |     |  |
3834
 |     |  +- pvPortMalloc         (see above)
3835
 |     |
3836
 |     +- vStartIntegerMathTasks
3837
 |     |  |
3838
 |     |  +- vCompeteingIntMathTask
3839
 |     |  |  |
3840
 |     |  |  +- _LNEG
3841
 |     |  |  |
3842
 |     |  |  +- _LDIVS
3843
 |     |  |  |  |
3844
 |     |  |  |  +- _NEG_P
3845
 |     |  |  |  |
3846
 |     |  |  |  +- _lDivMod
3847
 |     |  |  |
3848
 |     |  |  +- _LCMP_P              (see above)
3849
 |     |  |
3850
 |     |  +- xTaskCreate          (see above)
3851
 |     |
3852
 |     +- vCreateSuicidalTasks
3853
 |     |  |
3854
 |     |  +- pvPortMalloc         (see above)
3855
 |     |  |
3856
 |     |  +- vCreateTasks
3857
 |     |  |  |
3858
 |     |  |  +- vPortFree            (see above)
3859
 |     |  |  |
3860
 |     |  |  +- vTaskDelay           (see above)
3861
 |     |  |  |
3862
 |     |  |  +- vSuicidalTask
3863
 |     |  |  |  |
3864
 |     |  |  |  +- _LMUL
3865
 |     |  |  |  |
3866
 |     |  |  |  +- vTaskDelay           (see above)
3867
 |     |  |  |  |
3868
 |     |  |  |  +- vTaskDelete
3869
 |     |  |  |     |
3870
 |     |  |  |     +- vListRemove          (see above)
3871
 |     |  |  |     |
3872
 |     |  |  |     +- vListInsertEnd       (see above)
3873
 |     |  |  |
3874
 |     |  |  +- xTaskCreate          (see above)
3875
 |     |  |
3876
 |     |  +- xTaskCreate          (see above)
3877
 |     |  |
3878
 |     |  +- uxTaskGetNumberOfTasks
3879
 |     |
3880
 |     +- vErrorChecks
3881
 |     |  |
3882
 |     |  +- xTaskGetTickCount    (see above)
3883
 |     |  |
3884
 |     |  +- vTaskDelayUntil      (see above)
3885
 |     |  |
3886
 |     |  +- prvCheckOtherTasksAreStillRunning
3887
 |     |  |  |
3888
 |     |  |  +- xArePollingQueuesStillRunning
3889
 |     |  |  |
3890
 |     |  |  +- xAreDynamicPriorityTasksStillRunning
3891
 |     |  |  |
3892
 |     |  |  +- xAreComTestTasksStillRunning
3893
 |     |  |  |
3894
 |     |  |  +- xAreIntegerMathsTaskStillRunning
3895
 |     |  |  |
3896
 |     |  |  +- xAreBlockingQueuesStillRunning
3897
 |     |  |  |
3898
 |     |  |  +- xIsCreateTaskStillRunning
3899
 |     |  |     |
3900
 |     |  |     +- uxTaskGetNumberOfTasks (see above)
3901
 |     |  |
3902
 |     |  +- _LCMP
3903
 |     |  |
3904
 |     |  +- vParTestToggleLED    (see above)
3905
 |     |
3906
 |     +- xTaskCreate          (see above)
3907
 |     |
3908
 |     +- vTaskStartScheduler
3909
 |        |
3910
 |        +- prvIdleTask
3911
 |        |  |
3912
 |        |  +- prvCheckTasksWaitingTermination
3913
 |        |  |  |
3914
 |        |  |  +- vTaskSuspendAll      (see above)
3915
 |        |  |  |
3916
 |        |  |  +- xTaskResumeAll       (see above)
3917
 |        |  |  |
3918
 |        |  |  +- vListRemove          (see above)
3919
 |        |  |  |
3920
 |        |  |  +- prvDeleteTCB
3921
 |        |  |     |
3922
 |        |  |     +- vPortFree            (see above)
3923
 |        |  |
3924
 |        |  +- vApplicationIdleHook
3925
 |        |     |
3926
 |        |     +- _LNEG                (see above)
3927
 |        |     |
3928
 |        |     +- _LDIVS               (see above)
3929
 |        |     |
3930
 |        |     +- _LCMP_P              (see above)
3931
 |        |
3932
 |        +- xTaskCreate          (see above)
3933
 |        |
3934
 |        +- xPortStartScheduler
3935
 |           |
3936
 |           +- xBankedStartScheduler
3937
 |              |
3938
 |              +- TickTimer_SetFreqHz
3939
 |              |  |
3940
 |              |  +- _LDIVU
3941
 |              |  |  |
3942
 |              |  |  +- _lDivMod             (see above)
3943
 |              |  |
3944
 |              |  +- PE_Timer_LngHi1
3945
 |              |     |
3946
 |              |     +- _LCMP                (see above)
3947
 |              |
3948
 |              +- TickTimer_Enable
3949
 |
3950
 +- _EntryPoint
3951
    |
3952
    +- _Startup
3953
       |
3954
       +- Init
3955
 
3956
 _vect
3957
 |
3958
 +- Cpu_Interrupt
3959
 |
3960
 +- vCOM0_ISR
3961
 |  |
3962
 |  +- xQueueSendFromISR
3963
 |  |  |
3964
 |  |  +- memcpy               (see above)
3965
 |  |  |
3966
 |  |  +- xTaskRemoveFromEventList (see above)
3967
 |  |
3968
 |  +- xQueueReceiveFromISR
3969
 |     |
3970
 |     +- memcpy               (see above)
3971
 |     |
3972
 |     +- xTaskRemoveFromEventList (see above)
3973
 |
3974
 +- vPortTickInterrupt
3975
 |  |
3976
 |  +- vTaskIncrementTick   (see above)
3977
 |  |
3978
 |  +- vTaskSwitchContext
3979
 |
3980
 +- vPortYield
3981
 |  |
3982
 |  +- vTaskSwitchContext   (see above)
3983
 |
3984
 +- _EntryPoint          (see above)
3985
 
3986
*********************************************************************************************
3987
STATISTIC SECTION
3988
---------------------------------------------------------------------------------------------
3989
 
3990
ExeFile:
3991
--------
3992
Number of blocks to be downloaded: 18
3993
Total size of all blocks to be downloaded: 7636
3994
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.