OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [rtems/] [c/] [src/] [exec/] [score/] [src/] [heapsizeofuserarea.c] - Blame information for rev 173

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 unneback
/*
2
 *  Heap Handler
3
 *
4
 *  COPYRIGHT (c) 1989-1999.
5
 *  On-Line Applications Research Corporation (OAR).
6
 *
7
 *  The license and distribution terms for this file may be
8
 *  found in the file LICENSE in this distribution or at
9
 *  http://www.OARcorp.com/rtems/license.html.
10
 *
11
 *  $Id: heapsizeofuserarea.c,v 1.2 2001-09-27 11:59:34 chris Exp $
12
 */
13
 
14
 
15
#include <rtems/system.h>
16
#include <rtems/score/sysstate.h>
17
#include <rtems/score/heap.h>
18
 
19
/*PAGE
20
 *
21
 *  _Heap_Size_of_user_area
22
 *
23
 *  This kernel routine returns the size of the memory area
24
 *  given heap block.
25
 *
26
 *  Input parameters:
27
 *    the_heap         - pointer to heap header
28
 *    starting_address - starting address of the memory block to free.
29
 *    size             - pointer to size of area
30
 *
31
 *  Output parameters:
32
 *    size  - size of area filled in
33
 *    TRUE  - if starting_address is valid heap address
34
 *    FALSE - if starting_address is invalid heap address
35
 */
36
 
37
boolean _Heap_Size_of_user_area(
38
  Heap_Control        *the_heap,
39
  void                *starting_address,
40
  unsigned32          *size
41
)
42
{
43
  Heap_Block        *the_block;
44
  Heap_Block        *next_block;
45
  unsigned32         the_size;
46
 
47
  the_block = _Heap_User_block_at( starting_address );
48
 
49
  if ( !_Heap_Is_block_in( the_heap, the_block ) ||
50
        _Heap_Is_block_free( the_block ) )
51
    return( FALSE );
52
 
53
  the_size   = _Heap_Block_size( the_block );
54
  next_block = _Heap_Block_at( the_block, the_size );
55
 
56
  if ( !_Heap_Is_block_in( the_heap, next_block ) ||
57
       (the_block->front_flag != next_block->back_flag) )
58
    return( FALSE );
59
 
60
  *size = the_size;
61
  return( TRUE );
62
}
63
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.