OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [trunk/] [rtos/] [rtems/] [c/] [src/] [libchip/] [serial/] [STATUS] - Blame information for rev 218

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 unneback
#
2
#  $Id: STATUS,v 1.2 2001-09-27 12:01:42 chris Exp $
3
#
4
 
5
General
6
=======
7
 
8
+ Hardware flow control is not currently supported.  Some of the chip
9
  drivers (in particular the z8530) have support for hardware flow control
10
  but this has not been tested in the libchip context.  There will need
11
  to be a way to totally disabled hardware flow control which is not
12
  currently in this.
13
 
14
+ "ulClockSpeed" configuration item field to become a pointer to a table
15
  of chip specific information.  For example, the z8530 should specify
16
  clock speed and clock divisor setting.
17
 
18
+ A termios structure should be included to specify  the initial settings.
19
  Right now all drivers default to 9600, 8N1.
20
 
21
+ Need to switch to passing pointers rather than a minor number to
22
  functions which are strictly internal to each chip driver.  This
23
  should be a performance win.
24
 
25
+ Need a test which prompts you for termios settings and tests them.  Until
26
  this happens, testing for the variety of settings possible will be limited.
27
  This test should be able to test any serial port while prompts come to the
28
  console.
29
 
30
MC68681
31
=======
32
 
33
+ Works interrupt and polled.
34
 
35
+ Hardware flow control not included.
36
 
37
NS16650
38
=======
39
 
40
+ ns16550_set-attributes function is untested.
41
 
42
+ Hardware flow control included but is currently disabled in ISR.
43
 
44
Z85C30
45
======
46
 
47
+ Works polled and interrupt.
48
 
49
+ Hardware flow control included but is currently disabled in ISR.
50
 
51
+ Needs to support mode where more specific vectors are generated.
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.