OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [rtems/] [c/] [src/] [tests/] [sptests/] [sp19/] [task1.c] - Blame information for rev 173

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 unneback
/*  Task_1
2
 *
3
 *  This routine serves as a test task.  It verifies the basic task
4
 *  switching capabilities of the executive.
5
 *
6
 *  Input parameters:
7
 *    argument - task argument
8
 *
9
 *  Output parameters:  NONE
10
 *
11
 *  COPYRIGHT (c) 1989-1999.
12
 *  On-Line Applications Research Corporation (OAR).
13
 *
14
 *  The license and distribution terms for this file may be
15
 *  found in the file LICENSE in this distribution or at
16
 *  http://www.OARcorp.com/rtems/license.html.
17
 *
18
 *  $Id: task1.c,v 1.2 2001-09-27 12:02:34 chris Exp $
19
 */
20
 
21
#include "system.h"
22
#include "inttest.h"
23
 
24
rtems_task Task_1(
25
  rtems_task_argument argument
26
)
27
{
28
  rtems_status_code status;
29
  rtems_id          tid;
30
  rtems_time_of_day time;
31
  rtems_unsigned32  task_index;
32
  INTEGER_DECLARE;
33
 
34
  status = rtems_task_ident( RTEMS_SELF, RTEMS_SEARCH_ALL_NODES, &tid );
35
  directive_failed( status, "rtems_task_ident" );
36
 
37
  task_index = task_number( tid );
38
 
39
  INTEGER_LOAD( INTEGER_factors[ task_index ] );
40
 
41
  put_name( Task_name[ task_index ], FALSE );
42
  printf( " - integer base = (0x%x)\n", INTEGER_factors[ task_index ] );
43
 
44
  while( FOREVER ) {
45
    status = rtems_clock_get( RTEMS_CLOCK_GET_TOD, &time );
46
    directive_failed( status, "rtems_clock_get" );
47
 
48
    put_name( Task_name[ task_number( tid ) ], FALSE );
49
    print_time( " - rtems_clock_get - ", &time, "\n" );
50
 
51
    INTEGER_CHECK( INTEGER_factors[ task_index ] );
52
 
53
    status = rtems_task_wake_after(
54
      ( task_number( tid ) ) * 5 * TICKS_PER_SECOND
55
    );
56
    directive_failed( status, "rtems_task_wake_after" );
57
  }
58
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.