OpenCores
URL https://opencores.org/ocsvn/openriscdevboard/openriscdevboard/trunk

Subversion Repositories openriscdevboard

[/] [openriscdevboard/] [trunk/] [cyc2-openrisc/] [rtl/] [or1200/] [or1200_alu.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's ALU                                                ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  ALU                                                         ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.1  2006/12/21 16:46:58  vak
48
// Initial revision imported from
49
// http://www.opencores.org/cvsget.cgi/or1k/orp/orp_soc/rtl/verilog.
50
//
51
// Revision 1.15  2005/01/07 09:23:39  andreje
52
// l.ff1 and l.cmov instructions added
53
//
54
// Revision 1.14  2004/06/08 18:17:36  lampret
55
// Non-functional changes. Coding style fixes.
56
//
57
// Revision 1.13  2004/05/09 19:49:03  lampret
58
// Added some l.cust5 custom instructions as example
59
//
60
// Revision 1.12  2004/04/05 08:29:57  lampret
61
// Merged branch_qmem into main tree.
62
//
63
// Revision 1.11  2003/04/24 00:16:07  lampret
64
// No functional changes. Added defines to disable implementation of multiplier/MAC
65
//
66
// Revision 1.10  2002/09/08 05:52:16  lampret
67
// Added optional l.div/l.divu insns. By default they are disabled.
68
//
69
// Revision 1.9  2002/09/07 19:16:10  lampret
70
// If SR[CY] implemented with OR1200_IMPL_ADDC enabled, l.add/l.addi also set SR[CY].
71
//
72
// Revision 1.8  2002/09/07 05:42:02  lampret
73
// Added optional SR[CY]. Added define to enable additional (compare) flag modifiers. Defines are OR1200_IMPL_ADDC and OR1200_ADDITIONAL_FLAG_MODIFIERS.
74
//
75
// Revision 1.7  2002/09/03 22:28:21  lampret
76
// As per Taylor Su suggestion all case blocks are full case by default and optionally (OR1200_CASE_DEFAULT) can be disabled to increase clock frequncy.
77
//
78
// Revision 1.6  2002/03/29 16:40:10  lampret
79
// Added a directive to ignore signed division variables that are only used in simulation.
80
//
81
// Revision 1.5  2002/03/29 16:33:59  lampret
82
// Added again just recently removed full_case directive
83
//
84
// Revision 1.4  2002/03/29 15:16:53  lampret
85
// Some of the warnings fixed.
86
//
87
// Revision 1.3  2002/01/28 01:15:59  lampret
88
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
89
//
90
// Revision 1.2  2002/01/14 06:18:22  lampret
91
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
92
//
93
// Revision 1.1  2002/01/03 08:16:15  lampret
94
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
95
//
96
// Revision 1.10  2001/11/12 01:45:40  lampret
97
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
98
//
99
// Revision 1.9  2001/10/21 17:57:16  lampret
100
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
101
//
102
// Revision 1.8  2001/10/19 23:28:45  lampret
103
// Fixed some synthesis warnings. Configured with caches and MMUs.
104
//
105
// Revision 1.7  2001/10/14 13:12:09  lampret
106
// MP3 version.
107
//
108
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
109
// no message
110
//
111
// Revision 1.2  2001/08/09 13:39:33  lampret
112
// Major clean-up.
113
//
114
// Revision 1.1  2001/07/20 00:46:03  lampret
115
// Development version of RTL. Libraries are missing.
116
//
117
//
118
 
119
// synopsys translate_off
120
`include "timescale.v"
121
// synopsys translate_on
122
`include "or1200_defines.v"
123
 
124
module or1200_alu(
125
        a, b, mult_mac_result, macrc_op,
126
        alu_op, shrot_op, comp_op,
127
        cust5_op, cust5_limm,
128
        result, flagforw, flag_we,
129
        cyforw, cy_we, carry, flag
130
);
131
 
132
parameter width = `OR1200_OPERAND_WIDTH;
133
 
134
//
135
// I/O
136
//
137
input   [width-1:0]              a;
138
input   [width-1:0]              b;
139
input   [width-1:0]              mult_mac_result;
140
input                           macrc_op;
141
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;
142
input   [`OR1200_SHROTOP_WIDTH-1:0]      shrot_op;
143
input   [`OR1200_COMPOP_WIDTH-1:0]       comp_op;
144
input   [4:0]                    cust5_op;
145
input   [5:0]                    cust5_limm;
146
output  [width-1:0]              result;
147
output                          flagforw;
148
output                          flag_we;
149
output                          cyforw;
150
output                          cy_we;
151
input                           carry;
152
input         flag;
153
 
154
//
155
// Internal wires and regs
156
//
157
reg     [width-1:0]              result;
158
reg     [width-1:0]              shifted_rotated;
159
reg     [width-1:0]              result_cust5;
160
reg                             flagforw;
161
reg                             flagcomp;
162
reg                             flag_we;
163
reg                             cy_we;
164
wire    [width-1:0]              comp_a;
165
wire    [width-1:0]              comp_b;
166
`ifdef OR1200_IMPL_ALU_COMP1
167
wire                            a_eq_b;
168
wire                            a_lt_b;
169
`endif
170
wire    [width-1:0]              result_sum;
171
`ifdef OR1200_IMPL_ADDC
172
wire    [width-1:0]              result_csum;
173
wire                            cy_csum;
174
`endif
175
wire    [width-1:0]              result_and;
176
wire                            cy_sum;
177
reg                             cyforw;
178
 
179
//
180
// Combinatorial logic
181
//
182
assign comp_a = {a[width-1] ^ comp_op[3] , a[width-2:0]};
183
assign comp_b = {b[width-1] ^ comp_op[3] , b[width-2:0]};
184
`ifdef OR1200_IMPL_ALU_COMP1
185
assign a_eq_b = (comp_a == comp_b);
186
assign a_lt_b = (comp_a < comp_b);
187
`endif
188
assign {cy_sum, result_sum} = a + b;
189
`ifdef OR1200_IMPL_ADDC
190
assign {cy_csum, result_csum} = a + b + {32'd0, carry};
191
`endif
192
assign result_and = a & b;
193
 
194
//
195
// Simulation check for bad ALU behavior
196
//
197
`ifdef OR1200_WARNINGS
198
// synopsys translate_off
199
always @(result) begin
200
        if (result === 32'bx)
201
                $display("%t: WARNING: 32'bx detected on ALU result bus. Please check !", $time);
202
end
203
// synopsys translate_on
204
`endif
205
 
206
//
207
// Central part of the ALU
208
//
209
always @(alu_op or a or b or result_sum or result_and or macrc_op or shifted_rotated or mult_mac_result) begin
210
`ifdef OR1200_CASE_DEFAULT
211
        casex (alu_op)          // synopsys parallel_case
212
`else
213
        casex (alu_op)          // synopsys full_case parallel_case
214
`endif
215
    `OR1200_ALUOP_FF1: begin
216
        result = a[0] ? 1 : a[1] ? 2 : a[2] ? 3 : a[3] ? 4 : a[4] ? 5 : a[5] ? 6 : a[6] ? 7 : a[7] ? 8 : a[8] ? 9 : a[9] ? 10 : a[10] ? 11 : a[11] ? 12 : a[12] ? 13 : a[13] ? 14 : a[14] ? 15 : a[15] ? 16 : a[16] ? 17 : a[17] ? 18 : a[18] ? 19 : a[19] ? 20 : a[20] ? 21 : a[21] ? 22 : a[22] ? 23 : a[23] ? 24 : a[24] ? 25 : a[25] ? 26 : a[26] ? 27 : a[27] ? 28 : a[28] ? 29 : a[29] ? 30 : a[30] ? 31 : a[31] ? 32 : 0;
217
    end
218
                `OR1200_ALUOP_CUST5 : begin
219
                                result = result_cust5;
220
                end
221
                `OR1200_ALUOP_SHROT : begin
222
                                result = shifted_rotated;
223
                end
224
                `OR1200_ALUOP_ADD : begin
225
                                result = result_sum;
226
                end
227
`ifdef OR1200_IMPL_ADDC
228
                `OR1200_ALUOP_ADDC : begin
229
                                result = result_csum;
230
                end
231
`endif
232
                `OR1200_ALUOP_SUB : begin
233
                                result = a - b;
234
                end
235
                `OR1200_ALUOP_XOR : begin
236
                                result = a ^ b;
237
                end
238
                `OR1200_ALUOP_OR  : begin
239
                                result = a | b;
240
                end
241
                `OR1200_ALUOP_IMM : begin
242
                                result = b;
243
                end
244
                `OR1200_ALUOP_MOVHI : begin
245
                                if (macrc_op) begin
246
                                        result = mult_mac_result;
247
                                end
248
                                else begin
249
                                        result = b << 16;
250
                                end
251
                end
252
`ifdef OR1200_MULT_IMPLEMENTED
253
`ifdef OR1200_IMPL_DIV
254
                `OR1200_ALUOP_DIV,
255
                `OR1200_ALUOP_DIVU,
256
`endif
257
                `OR1200_ALUOP_MUL : begin
258
                                result = mult_mac_result;
259
                end
260
`endif
261
    `OR1200_ALUOP_CMOV: begin
262
        result = flag ? a : b;
263
    end
264
 
265
`ifdef OR1200_CASE_DEFAULT
266
    default: begin
267
`else
268
    `OR1200_ALUOP_COMP, `OR1200_ALUOP_AND:
269
    begin
270
`endif
271
      result=result_and;
272
    end
273
        endcase
274
end
275
 
276
//
277
// l.cust5 custom instructions
278
//
279
// Examples for move byte, set bit and clear bit
280
//
281
always @(cust5_op or cust5_limm or a or b) begin
282
        casex (cust5_op)                // synopsys parallel_case
283
                5'h1 : begin
284
                        casex (cust5_limm[1:0])
285
                                2'h0: result_cust5 = {a[31:8], b[7:0]};
286
                                2'h1: result_cust5 = {a[31:16], b[7:0], a[7:0]};
287
                                2'h2: result_cust5 = {a[31:24], b[7:0], a[15:0]};
288
                                2'h3: result_cust5 = {b[7:0], a[23:0]};
289
                        endcase
290
                end
291
                5'h2 :
292
                        result_cust5 = a | (1 << cust5_limm);
293
                5'h3 :
294
                        result_cust5 = a & (32'hffffffff ^ (1 << cust5_limm));
295
//
296
// *** Put here new l.cust5 custom instructions ***
297
//
298
                default: begin
299
                        result_cust5 = a;
300
                end
301
        endcase
302
end
303
 
304
//
305
// Generate flag and flag write enable
306
//
307
always @(alu_op or result_sum or result_and or flagcomp) begin
308
        casex (alu_op)          // synopsys parallel_case
309
`ifdef OR1200_ADDITIONAL_FLAG_MODIFIERS
310
                `OR1200_ALUOP_ADD : begin
311
                        flagforw = (result_sum == 32'h0000_0000);
312
                        flag_we = 1'b1;
313
                end
314
`ifdef OR1200_IMPL_ADDC
315
                `OR1200_ALUOP_ADDC : begin
316
                        flagforw = (result_csum == 32'h0000_0000);
317
                        flag_we = 1'b1;
318
                end
319
`endif
320
                `OR1200_ALUOP_AND: begin
321
                        flagforw = (result_and == 32'h0000_0000);
322
                        flag_we = 1'b1;
323
                end
324
`endif
325
                `OR1200_ALUOP_COMP: begin
326
                        flagforw = flagcomp;
327
                        flag_we = 1'b1;
328
                end
329
                default: begin
330
                        flagforw = 1'b0;
331
                        flag_we = 1'b0;
332
                end
333
        endcase
334
end
335
 
336
//
337
// Generate SR[CY] write enable
338
//
339
always @(alu_op or cy_sum
340
`ifdef OR1200_IMPL_ADDC
341
        or cy_csum
342
`endif
343
        ) begin
344
        casex (alu_op)          // synopsys parallel_case
345
`ifdef OR1200_IMPL_CY
346
                `OR1200_ALUOP_ADD : begin
347
                        cyforw = cy_sum;
348
                        cy_we = 1'b1;
349
                end
350
`ifdef OR1200_IMPL_ADDC
351
                `OR1200_ALUOP_ADDC: begin
352
                        cyforw = cy_csum;
353
                        cy_we = 1'b1;
354
                end
355
`endif
356
`endif
357
                default: begin
358
                        cyforw = 1'b0;
359
                        cy_we = 1'b0;
360
                end
361
        endcase
362
end
363
 
364
//
365
// Shifts and rotation
366
//
367
always @(shrot_op or a or b) begin
368
        case (shrot_op)         // synopsys parallel_case
369
        `OR1200_SHROTOP_SLL :
370
                                shifted_rotated = (a << b[4:0]);
371
                `OR1200_SHROTOP_SRL :
372
                                shifted_rotated = (a >> b[4:0]);
373
 
374
`ifdef OR1200_IMPL_ALU_ROTATE
375
                `OR1200_SHROTOP_ROR :
376
                                shifted_rotated = (a << (6'd32-{1'b0, b[4:0]})) | (a >> b[4:0]);
377
`endif
378
                default:
379
                                shifted_rotated = ({32{a[31]}} << (6'd32-{1'b0, b[4:0]})) | a >> b[4:0];
380
        endcase
381
end
382
 
383
//
384
// First type of compare implementation
385
//
386
`ifdef OR1200_IMPL_ALU_COMP1
387
always @(comp_op or a_eq_b or a_lt_b) begin
388
        case(comp_op[2:0])       // synopsys parallel_case
389
                `OR1200_COP_SFEQ:
390
                        flagcomp = a_eq_b;
391
                `OR1200_COP_SFNE:
392
                        flagcomp = ~a_eq_b;
393
                `OR1200_COP_SFGT:
394
                        flagcomp = ~(a_eq_b | a_lt_b);
395
                `OR1200_COP_SFGE:
396
                        flagcomp = ~a_lt_b;
397
                `OR1200_COP_SFLT:
398
                        flagcomp = a_lt_b;
399
                `OR1200_COP_SFLE:
400
                        flagcomp = a_eq_b | a_lt_b;
401
                default:
402
                        flagcomp = 1'b0;
403
        endcase
404
end
405
`endif
406
 
407
//
408
// Second type of compare implementation
409
//
410
`ifdef OR1200_IMPL_ALU_COMP2
411
always @(comp_op or comp_a or comp_b) begin
412
        case(comp_op[2:0])       // synopsys parallel_case
413
                `OR1200_COP_SFEQ:
414
                        flagcomp = (comp_a == comp_b);
415
                `OR1200_COP_SFNE:
416
                        flagcomp = (comp_a != comp_b);
417
                `OR1200_COP_SFGT:
418
                        flagcomp = (comp_a > comp_b);
419
                `OR1200_COP_SFGE:
420
                        flagcomp = (comp_a >= comp_b);
421
                `OR1200_COP_SFLT:
422
                        flagcomp = (comp_a < comp_b);
423
                `OR1200_COP_SFLE:
424
                        flagcomp = (comp_a <= comp_b);
425
                default:
426
                        flagcomp = 1'b0;
427
        endcase
428
end
429
`endif
430
 
431
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.