OpenCores
URL https://opencores.org/ocsvn/openriscdevboard/openriscdevboard/trunk

Subversion Repositories openriscdevboard

[/] [openriscdevboard/] [trunk/] [cyc2-openrisc/] [rtl/] [or1200/] [or1200_ic_top.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.1  2006/12/21 16:46:58  vak
48
// Initial revision imported from
49
// http://www.opencores.org/cvsget.cgi/or1k/orp/orp_soc/rtl/verilog.
50
//
51
// Revision 1.9  2004/04/05 08:29:57  lampret
52
// Merged branch_qmem into main tree.
53
//
54
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
55
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
56
//
57
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
58
// Added embedded memory QMEM.
59
//
60
// Revision 1.7  2002/10/17 20:04:40  lampret
61
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
62
//
63
// Revision 1.6  2002/03/29 15:16:55  lampret
64
// Some of the warnings fixed.
65
//
66
// Revision 1.5  2002/02/11 04:33:17  lampret
67
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
68
//
69
// Revision 1.4  2002/02/01 19:56:54  lampret
70
// Fixed combinational loops.
71
//
72
// Revision 1.3  2002/01/28 01:16:00  lampret
73
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
74
//
75
// Revision 1.2  2002/01/14 06:18:22  lampret
76
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
77
//
78
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81
// Revision 1.10  2001/10/21 17:57:16  lampret
82
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
83
//
84
// Revision 1.9  2001/10/14 13:12:09  lampret
85
// MP3 version.
86
//
87
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
88
// no message
89
//
90
// Revision 1.4  2001/08/13 03:36:20  lampret
91
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
92
//
93
// Revision 1.3  2001/08/09 13:39:33  lampret
94
// Major clean-up.
95
//
96
// Revision 1.2  2001/07/22 03:31:53  lampret
97
// Fixed RAM's oen bug. Cache bypass under development.
98
//
99
// Revision 1.1  2001/07/20 00:46:03  lampret
100
// Development version of RTL. Libraries are missing.
101
//
102
//
103
 
104
// synopsys translate_off
105
`include "timescale.v"
106
// synopsys translate_on
107
`include "or1200_defines.v"
108
 
109
//
110
// Data cache
111
//
112
module or1200_ic_top(
113
        // Rst, clk and clock control
114
        clk, rst,
115
 
116
        // External i/f
117
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
118
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
119
 
120
        // Internal i/f
121
        ic_en,
122
        icqmem_adr_i, icqmem_cycstb_i, icqmem_ci_i,
123
        icqmem_sel_i, icqmem_tag_i,
124
        icqmem_dat_o, icqmem_ack_o, icqmem_rty_o, icqmem_err_o, icqmem_tag_o,
125
 
126
`ifdef OR1200_BIST
127
        // RAM BIST
128
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
129
`endif
130
 
131
        // SPRs
132
        spr_cs, spr_write, spr_dat_i
133
);
134
 
135
parameter dw = `OR1200_OPERAND_WIDTH;
136
 
137
//
138
// I/O
139
//
140
 
141
//
142
// Clock and reset
143
//
144
input                           clk;
145
input                           rst;
146
 
147
//
148
// External I/F
149
//
150
output  [dw-1:0]         icbiu_dat_o;
151
output  [31:0]                   icbiu_adr_o;
152
output                          icbiu_cyc_o;
153
output                          icbiu_stb_o;
154
output                          icbiu_we_o;
155
output  [3:0]                    icbiu_sel_o;
156
output                          icbiu_cab_o;
157
input   [dw-1:0]         icbiu_dat_i;
158
input                           icbiu_ack_i;
159
input                           icbiu_err_i;
160
 
161
//
162
// Internal I/F
163
//
164
input                           ic_en;
165
input   [31:0]                   icqmem_adr_i;
166
input                           icqmem_cycstb_i;
167
input                           icqmem_ci_i;
168
input   [3:0]                    icqmem_sel_i;
169
input   [3:0]                    icqmem_tag_i;
170
output  [dw-1:0]         icqmem_dat_o;
171
output                          icqmem_ack_o;
172
output                          icqmem_rty_o;
173
output                          icqmem_err_o;
174
output  [3:0]                    icqmem_tag_o;
175
 
176
`ifdef OR1200_BIST
177
//
178
// RAM BIST
179
//
180
input mbist_si_i;
181
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
182
output mbist_so_o;
183
`endif
184
 
185
//
186
// SPR access
187
//
188
input                           spr_cs;
189
input                           spr_write;
190
input   [31:0]                   spr_dat_i;
191
 
192
//
193
// Internal wires and regs
194
//
195
wire                            tag_v;
196
wire    [`OR1200_ICTAG_W-2:0]    tag;
197
wire    [dw-1:0]         to_icram;
198
wire    [dw-1:0]         from_icram;
199
wire    [31:0]                   saved_addr;
200
wire    [3:0]                    icram_we;
201
wire                            ictag_we;
202
wire    [31:0]                   ic_addr;
203
wire                            icfsm_biu_read;
204
reg                             tagcomp_miss;
205
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
206
wire                            ictag_en;
207
wire                            ictag_v;
208
wire                            ic_inv;
209
wire                            icfsm_first_hit_ack;
210
wire                            icfsm_first_miss_ack;
211
wire                            icfsm_first_miss_err;
212
wire                            icfsm_burst;
213
wire                            icfsm_tag_we;
214
`ifdef OR1200_BIST
215
//
216
// RAM BIST
217
//
218
wire                            mbist_ram_so;
219
wire                            mbist_tag_so;
220
wire                            mbist_ram_si = mbist_si_i;
221
wire                            mbist_tag_si = mbist_ram_so;
222
assign                          mbist_so_o = mbist_tag_so;
223
`endif
224
 
225
//
226
// Simple assignments
227
//
228
assign icbiu_adr_o = ic_addr;
229
assign ic_inv = spr_cs & spr_write;
230
assign ictag_we = icfsm_tag_we | ic_inv;
231
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
232
assign ictag_en = ic_inv | ic_en;
233
assign ictag_v = ~ic_inv;
234
 
235
//
236
// Data to BIU is from ICRAM when IC is enabled or from LSU when
237
// IC is disabled
238
//
239
assign icbiu_dat_o = 32'h00000000;
240
 
241
//
242
// Bypases of the IC when IC is disabled
243
//
244
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
245
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
246
assign icbiu_we_o = 1'b0;
247
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icqmem_sel_i;
248
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
249
assign icqmem_rty_o = ~icqmem_ack_o & ~icqmem_err_o;
250
assign icqmem_tag_o = icqmem_err_o ? `OR1200_ITAG_BE : icqmem_tag_i;
251
 
252
//
253
// CPU normal and error termination
254
//
255
assign icqmem_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
256
assign icqmem_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
257
 
258
//
259
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
260
//
261
assign ic_addr = (icfsm_biu_read) ? saved_addr : icqmem_adr_i;
262
 
263
//
264
// Select between input data generated by LSU or by BIU
265
//
266
assign to_icram = icbiu_dat_i;
267
 
268
//
269
// Select between data generated by ICRAM or passed by BIU
270
//
271
assign icqmem_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
272
 
273
//
274
// Tag comparison
275
//
276
always @(tag or saved_addr or tag_v) begin
277
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
278
                tagcomp_miss = 1'b1;
279
        else
280
                tagcomp_miss = 1'b0;
281
end
282
 
283
//
284
// Instantiation of IC Finite State Machine
285
//
286
or1200_ic_fsm or1200_ic_fsm(
287
        .clk(clk),
288
        .rst(rst),
289
        .ic_en(ic_en),
290
        .icqmem_cycstb_i(icqmem_cycstb_i),
291
        .icqmem_ci_i(icqmem_ci_i),
292
        .tagcomp_miss(tagcomp_miss),
293
        .biudata_valid(icbiu_ack_i),
294
        .biudata_error(icbiu_err_i),
295
        .start_addr(icqmem_adr_i),
296
        .saved_addr(saved_addr),
297
        .icram_we(icram_we),
298
        .biu_read(icfsm_biu_read),
299
        .first_hit_ack(icfsm_first_hit_ack),
300
        .first_miss_ack(icfsm_first_miss_ack),
301
        .first_miss_err(icfsm_first_miss_err),
302
        .burst(icfsm_burst),
303
        .tag_we(icfsm_tag_we)
304
);
305
 
306
//
307
// Instantiation of IC main memory
308
//
309
or1200_ic_ram or1200_ic_ram(
310
        .clk(clk),
311
        .rst(rst),
312
`ifdef OR1200_BIST
313
        // RAM BIST
314
        .mbist_si_i(mbist_ram_si),
315
        .mbist_so_o(mbist_ram_so),
316
        .mbist_ctrl_i(mbist_ctrl_i),
317
`endif
318
        .addr(ic_addr[`OR1200_ICINDXH:2]),
319
        .en(ic_en),
320
        .we(icram_we),
321
        .datain(to_icram),
322
        .dataout(from_icram)
323
);
324
 
325
//
326
// Instantiation of IC TAG memory
327
//
328
or1200_ic_tag or1200_ic_tag(
329
        .clk(clk),
330
        .rst(rst),
331
`ifdef OR1200_BIST
332
        // RAM BIST
333
        .mbist_si_i(mbist_tag_si),
334
        .mbist_so_o(mbist_tag_so),
335
        .mbist_ctrl_i(mbist_ctrl_i),
336
`endif
337
        .addr(ictag_addr),
338
        .en(ictag_en),
339
        .we(ictag_we),
340
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
341
        .tag_v(tag_v),
342
        .tag(tag)
343
);
344
 
345
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.