OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm2/] [verilog/] [or1200_freeze.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.6.4.2  2003/12/05 00:09:49  lampret
51
// No functional change.
52
//
53
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
54
// Added embedded memory QMEM.
55
//
56
// Revision 1.6  2002/07/31 02:04:35  lampret
57
// MAC now follows software convention (signed multiply instead of unsigned).
58
//
59
// Revision 1.5  2002/07/14 22:17:17  lampret
60
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
61
//
62
// Revision 1.4  2002/03/29 15:16:55  lampret
63
// Some of the warnings fixed.
64
//
65
// Revision 1.3  2002/01/28 01:16:00  lampret
66
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
67
//
68
// Revision 1.2  2002/01/14 06:18:22  lampret
69
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
70
//
71
// Revision 1.1  2002/01/03 08:16:15  lampret
72
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
73
//
74
// Revision 1.10  2001/11/13 10:02:21  lampret
75
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
76
//
77
// Revision 1.9  2001/10/21 17:57:16  lampret
78
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
79
//
80
// Revision 1.8  2001/10/19 23:28:46  lampret
81
// Fixed some synthesis warnings. Configured with caches and MMUs.
82
//
83
// Revision 1.7  2001/10/14 13:12:09  lampret
84
// MP3 version.
85
//
86
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
87
// no message
88
//
89
// Revision 1.2  2001/08/09 13:39:33  lampret
90
// Major clean-up.
91
//
92
// Revision 1.1  2001/07/20 00:46:03  lampret
93
// Development version of RTL. Libraries are missing.
94
//
95
//
96
 
97
// synopsys translate_off
98
`include "timescale.v"
99
// synopsys translate_on
100
`include "or1200_defines.v"
101
 
102
`define OR1200_NO_FREEZE        3'd0
103
`define OR1200_FREEZE_BYDC      3'd1
104
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
105
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
106
`define OR1200_WAIT_IC                  3'd4
107
 
108
//
109
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
110
//
111
module or1200_freeze_cm2(
112
                clk_i_cml_1,
113
 
114
        // Clock and reset
115
        clk, rst,
116
 
117
        // Internal i/f
118
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
119
        lsu_unstall, du_stall, mac_stall,
120
        force_dslot_fetch, abort_ex,
121
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
122
        icpu_ack_i, icpu_err_i
123
);
124
 
125
 
126
input clk_i_cml_1;
127
reg  extend_flush_cml_1;
128
reg  lsu_stall_cml_1;
129
reg  lsu_unstall_cml_1;
130
reg  abort_ex_cml_1;
131
reg  du_stall_cml_1;
132
reg  mac_stall_cml_1;
133
reg  icpu_ack_i_cml_1;
134
reg  multicycle_freeze_cml_1;
135
reg [ 2 - 1 : 0 ] multicycle_cnt_cml_1;
136
reg  flushpipe_r_cml_1;
137
 
138
 
139
 
140
//
141
// I/O
142
//
143
input                           clk;
144
input                           rst;
145
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
146
input                           flushpipe;
147
input                           extend_flush;
148
input                           lsu_stall;
149
input                           if_stall;
150
input                           lsu_unstall;
151
input                           force_dslot_fetch;
152
input                           abort_ex;
153
input                           du_stall;
154
input                           mac_stall;
155
output                          genpc_freeze;
156
output                          if_freeze;
157
output                          id_freeze;
158
output                          ex_freeze;
159
output                          wb_freeze;
160
input                           icpu_ack_i;
161
input                           icpu_err_i;
162
 
163
//
164
// Internal wires and regs
165
//
166
wire                            multicycle_freeze;
167
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
168
reg                             flushpipe_r;
169
 
170
//
171
// Pipeline freeze
172
//
173
// Rules how to create freeze signals:
174
// 1. Not overwriting pipeline stages:
175
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
176
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
177
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
178
//
179
// 2. Inserting NOPs in the middle of pipeline only if supported:
180
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
181
// This way NOP is asserted from stage ID into EX stage.
182
//
183
//assign genpc_freeze = du_stall | flushpipe_r | lsu_stall;
184
 
185
// SynEDA CoreMultiplier
186
// assignment(s): genpc_freeze
187
// replace(s): du_stall, flushpipe_r
188
assign genpc_freeze = du_stall_cml_1 | flushpipe_r_cml_1;
189
 
190
// SynEDA CoreMultiplier
191
// assignment(s): if_freeze
192
// replace(s): extend_flush
193
assign if_freeze = id_freeze | extend_flush_cml_1;
194
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
195
 
196
// SynEDA CoreMultiplier
197
// assignment(s): id_freeze
198
// replace(s): lsu_stall, lsu_unstall, du_stall, mac_stall, multicycle_freeze
199
assign id_freeze = (lsu_stall_cml_1 | (~lsu_unstall_cml_1 & if_stall) | multicycle_freeze_cml_1 | force_dslot_fetch) | du_stall_cml_1 | mac_stall_cml_1;
200
assign ex_freeze = wb_freeze;
201
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
202
 
203
// SynEDA CoreMultiplier
204
// assignment(s): wb_freeze
205
// replace(s): lsu_stall, lsu_unstall, abort_ex, du_stall, mac_stall, multicycle_freeze
206
assign wb_freeze = (lsu_stall_cml_1 | (~lsu_unstall_cml_1 & if_stall) | multicycle_freeze_cml_1) | du_stall_cml_1 | mac_stall_cml_1 | abort_ex_cml_1;
207
 
208
//
209
// registered flushpipe
210
//
211
 
212
// SynEDA CoreMultiplier
213
// assignment(s): flushpipe_r
214
// replace(s): icpu_ack_i, flushpipe_r
215
always @(posedge clk or posedge rst)
216
        if (rst)
217
                flushpipe_r <= #1 1'b0;
218
        else begin  flushpipe_r <= flushpipe_r_cml_1; if (icpu_ack_i_cml_1 | icpu_err_i)
219
//      else if (!if_stall)
220
                flushpipe_r <= #1 flushpipe;
221
        else if (!flushpipe)
222
                flushpipe_r <= #1 1'b0; end
223
 
224
//
225
// Multicycle freeze
226
//
227
assign multicycle_freeze = |multicycle_cnt;
228
 
229
//
230
// Multicycle counter
231
//
232
 
233
// SynEDA CoreMultiplier
234
// assignment(s): multicycle_cnt
235
// replace(s): multicycle_cnt
236
always @(posedge clk or posedge rst)
237
        if (rst)
238
                multicycle_cnt <= #1 2'b00;
239
        else begin  multicycle_cnt <= multicycle_cnt_cml_1; if (|multicycle_cnt_cml_1)
240
                multicycle_cnt <= #1 multicycle_cnt_cml_1 - 2'd1;
241
        else if (|multicycle & !ex_freeze)
242
                multicycle_cnt <= #1 multicycle; end
243
 
244
 
245
always @ (posedge clk_i_cml_1) begin
246
extend_flush_cml_1 <= extend_flush;
247
lsu_stall_cml_1 <= lsu_stall;
248
lsu_unstall_cml_1 <= lsu_unstall;
249
abort_ex_cml_1 <= abort_ex;
250
du_stall_cml_1 <= du_stall;
251
mac_stall_cml_1 <= mac_stall;
252
icpu_ack_i_cml_1 <= icpu_ack_i;
253
multicycle_freeze_cml_1 <= multicycle_freeze;
254
multicycle_cnt_cml_1 <= multicycle_cnt;
255
flushpipe_r_cml_1 <= flushpipe_r;
256
end
257
endmodule
258
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.