OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm2/] [verilog/] [or1200_qmem_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Embedded Memory                                    ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Embedded Memory               .                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - QMEM and IC/DC muxes can be removed except for cycstb    ////
13
////     (now are is there for easier debugging)                  ////
14
////   - currently arbitration is slow and stores take 2 clocks   ////
15
////     (final debugged version will be faster)                  ////
16
////                                                              ////
17
////  Author(s):                                                  ////
18
////      - Damjan Lampret, lampret@opencores.org                 ////
19
////                                                              ////
20
//////////////////////////////////////////////////////////////////////
21
////                                                              ////
22
//// Copyright (C) 2003 Authors and OPENCORES.ORG                 ////
23
////                                                              ////
24
//// This source file may be used and distributed without         ////
25
//// restriction provided that this copyright statement is not    ////
26
//// removed from the file and that any derivative work contains  ////
27
//// the original copyright notice and the associated disclaimer. ////
28
////                                                              ////
29
//// This source file is free software; you can redistribute it   ////
30
//// and/or modify it under the terms of the GNU Lesser General   ////
31
//// Public License as published by the Free Software Foundation; ////
32
//// either version 2.1 of the License, or (at your option) any   ////
33
//// later version.                                               ////
34
////                                                              ////
35
//// This source is distributed in the hope that it will be       ////
36
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
37
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
38
//// PURPOSE.  See the GNU Lesser General Public License for more ////
39
//// details.                                                     ////
40
////                                                              ////
41
//// You should have received a copy of the GNU Lesser General    ////
42
//// Public License along with this source; if not, download it   ////
43
//// from http://www.opencores.org/lgpl.shtml                     ////
44
////                                                              ////
45
//////////////////////////////////////////////////////////////////////
46
//
47
// CVS Revision History
48
//
49
// $Log: not supported by cvs2svn $
50
// Revision 1.2  2004/04/05 08:40:26  lampret
51
// Merged branch_qmem into main tree.
52
//
53
// Revision 1.1.2.4  2004/01/11 22:45:46  andreje
54
// Separate instruction and data QMEM decoders, QMEM acknowledge and byte-select added
55
//
56
// Revision 1.1.2.3  2003/12/17 13:36:58  simons
57
// Qmem mbist signals fixed.
58
//
59
// Revision 1.1.2.2  2003/12/09 11:46:48  simons
60
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
61
//
62
// Revision 1.1.2.1  2003/07/08 15:45:26  lampret
63
// Added embedded memory QMEM.
64
//
65
//
66
 
67
// synopsys translate_off
68
`include "timescale.v"
69
// synopsys translate_on
70
`include "or1200_defines.v"
71
 
72
`define OR1200_QMEMFSM_IDLE     3'd0
73
`define OR1200_QMEMFSM_STORE    3'd1
74
`define OR1200_QMEMFSM_LOAD     3'd2
75
`define OR1200_QMEMFSM_FETCH    3'd3
76
 
77
//
78
// Embedded memory
79
//
80
module or1200_qmem_top_cm2(
81
                clk_i_cml_1,
82
 
83
        // Rst, clk and clock control
84
        clk, rst,
85
 
86
`ifdef OR1200_BIST
87
        // RAM BIST
88
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
89
`endif
90
 
91
        // QMEM and CPU/IMMU
92
        qmemimmu_adr_i,
93
        qmemimmu_cycstb_i,
94
        qmemimmu_ci_i,
95
        qmemicpu_sel_i,
96
        qmemicpu_tag_i,
97
        qmemicpu_dat_o,
98
        qmemicpu_ack_o,
99
        qmemimmu_rty_o,
100
        qmemimmu_err_o,
101
        qmemimmu_tag_o,
102
 
103
        // QMEM and IC
104
        icqmem_adr_o,
105
        icqmem_cycstb_o,
106
        icqmem_ci_o,
107
        icqmem_sel_o,
108
        icqmem_tag_o,
109
        icqmem_dat_i,
110
        icqmem_ack_i,
111
        icqmem_rty_i,
112
        icqmem_err_i,
113
        icqmem_tag_i,
114
 
115
        // QMEM and CPU/DMMU
116
        qmemdmmu_adr_i,
117
        qmemdmmu_cycstb_i,
118
        qmemdmmu_ci_i,
119
        qmemdcpu_we_i,
120
        qmemdcpu_sel_i,
121
        qmemdcpu_tag_i,
122
        qmemdcpu_dat_i,
123
        qmemdcpu_dat_o,
124
        qmemdcpu_ack_o,
125
        qmemdcpu_rty_o,
126
        qmemdmmu_err_o,
127
        qmemdmmu_tag_o,
128
 
129
        // QMEM and DC
130
        dcqmem_adr_o, dcqmem_cycstb_o, dcqmem_ci_o,
131
        dcqmem_we_o, dcqmem_sel_o, dcqmem_tag_o, dcqmem_dat_o,
132
        dcqmem_dat_i, dcqmem_ack_i, dcqmem_rty_i, dcqmem_err_i, dcqmem_tag_i
133
 
134
);
135
 
136
 
137
input clk_i_cml_1;
138
reg  qmemdcpu_we_i_cml_1;
139
reg [ 32 - 1 : 0 ] dcqmem_dat_i_cml_1;
140
 
141
 
142
 
143
parameter dw = `OR1200_OPERAND_WIDTH;
144
 
145
//
146
// I/O
147
//
148
 
149
//
150
// Clock and reset
151
//
152
input                           clk;
153
input                           rst;
154
 
155
`ifdef OR1200_BIST
156
//
157
// RAM BIST
158
//
159
input mbist_si_i;
160
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
161
output mbist_so_o;
162
`endif
163
 
164
//
165
// QMEM and CPU/IMMU
166
//
167
input   [31:0]                   qmemimmu_adr_i;
168
input                           qmemimmu_cycstb_i;
169
input                           qmemimmu_ci_i;
170
input   [3:0]                    qmemicpu_sel_i;
171
input   [3:0]                    qmemicpu_tag_i;
172
output  [31:0]                   qmemicpu_dat_o;
173
output                          qmemicpu_ack_o;
174
output                          qmemimmu_rty_o;
175
output                          qmemimmu_err_o;
176
output  [3:0]                    qmemimmu_tag_o;
177
 
178
//
179
// QMEM and IC
180
//
181
output  [31:0]                   icqmem_adr_o;
182
output                          icqmem_cycstb_o;
183
output                          icqmem_ci_o;
184
output  [3:0]                    icqmem_sel_o;
185
output  [3:0]                    icqmem_tag_o;
186
input   [31:0]                   icqmem_dat_i;
187
input                           icqmem_ack_i;
188
input                           icqmem_rty_i;
189
input                           icqmem_err_i;
190
input   [3:0]                    icqmem_tag_i;
191
 
192
//
193
// QMEM and CPU/DMMU
194
//
195
input   [31:0]                   qmemdmmu_adr_i;
196
input                           qmemdmmu_cycstb_i;
197
input                           qmemdmmu_ci_i;
198
input                           qmemdcpu_we_i;
199
input   [3:0]                    qmemdcpu_sel_i;
200
input   [3:0]                    qmemdcpu_tag_i;
201
input   [31:0]                   qmemdcpu_dat_i;
202
output  [31:0]                   qmemdcpu_dat_o;
203
output                          qmemdcpu_ack_o;
204
output                          qmemdcpu_rty_o;
205
output                          qmemdmmu_err_o;
206
output  [3:0]                    qmemdmmu_tag_o;
207
 
208
//
209
// QMEM and DC
210
//
211
output  [31:0]                   dcqmem_adr_o;
212
output                          dcqmem_cycstb_o;
213
output                          dcqmem_ci_o;
214
output                          dcqmem_we_o;
215
output  [3:0]                    dcqmem_sel_o;
216
output  [3:0]                    dcqmem_tag_o;
217
output  [dw-1:0]         dcqmem_dat_o;
218
input   [dw-1:0]         dcqmem_dat_i;
219
input                           dcqmem_ack_i;
220
input                           dcqmem_rty_i;
221
input                           dcqmem_err_i;
222
input   [3:0]                    dcqmem_tag_i;
223
 
224
`ifdef OR1200_QMEM_IMPLEMENTED
225
 
226
//
227
// Internal regs and wires
228
//
229
wire                            iaddr_qmem_hit;
230
wire                            daddr_qmem_hit;
231
reg     [2:0]                    state;
232
reg                             qmem_dack;
233
reg                             qmem_iack;
234
wire    [31:0]                   qmem_di;
235
wire    [31:0]                   qmem_do;
236
wire                            qmem_en;
237
wire                            qmem_we;
238
`ifdef OR1200_QMEM_BSEL
239
wire  [3:0]       qmem_sel;
240
`endif
241
wire    [31:0]                   qmem_addr;
242
`ifdef OR1200_QMEM_ACK
243
wire              qmem_ack;
244
`else
245
wire              qmem_ack = 1'b1;
246
`endif
247
 
248
//
249
// QMEM and CPU/IMMU
250
//
251
assign qmemicpu_dat_o = qmem_iack ? qmem_do : icqmem_dat_i;
252
assign qmemicpu_ack_o = qmem_iack ? 1'b1 : icqmem_ack_i;
253
assign qmemimmu_rty_o = qmem_iack ? 1'b0 : icqmem_rty_i;
254
assign qmemimmu_err_o = qmem_iack ? 1'b0 : icqmem_err_i;
255
assign qmemimmu_tag_o = qmem_iack ? 4'h0 : icqmem_tag_i;
256
 
257
//
258
// QMEM and IC
259
//
260
assign icqmem_adr_o = iaddr_qmem_hit ? 32'h0000_0000 : qmemimmu_adr_i;
261
assign icqmem_cycstb_o = iaddr_qmem_hit ? 1'b0 : qmemimmu_cycstb_i;
262
assign icqmem_ci_o = iaddr_qmem_hit ? 1'b0 : qmemimmu_ci_i;
263
assign icqmem_sel_o = iaddr_qmem_hit ? 4'h0 : qmemicpu_sel_i;
264
assign icqmem_tag_o = iaddr_qmem_hit ? 4'h0 : qmemicpu_tag_i;
265
 
266
//
267
// QMEM and CPU/DMMU
268
//
269
assign qmemdcpu_dat_o = daddr_qmem_hit ? qmem_do : dcqmem_dat_i;
270
assign qmemdcpu_ack_o = daddr_qmem_hit ? qmem_dack : dcqmem_ack_i;
271
assign qmemdcpu_rty_o = daddr_qmem_hit ? ~qmem_dack : dcqmem_rty_i;
272
assign qmemdmmu_err_o = daddr_qmem_hit ? 1'b0 : dcqmem_err_i;
273
assign qmemdmmu_tag_o = daddr_qmem_hit ? 4'h0 : dcqmem_tag_i;
274
 
275
//
276
// QMEM and DC
277
//
278
assign dcqmem_adr_o = daddr_qmem_hit ? 32'h0000_0000 : qmemdmmu_adr_i;
279
assign dcqmem_cycstb_o = daddr_qmem_hit ? 1'b0 : qmemdmmu_cycstb_i;
280
assign dcqmem_ci_o = daddr_qmem_hit ? 1'b0 : qmemdmmu_ci_i;
281
assign dcqmem_we_o = daddr_qmem_hit ? 1'b0 : qmemdcpu_we_i;
282
assign dcqmem_sel_o = daddr_qmem_hit ? 4'h0 : qmemdcpu_sel_i;
283
assign dcqmem_tag_o = daddr_qmem_hit ? 4'h0 : qmemdcpu_tag_i;
284
assign dcqmem_dat_o = daddr_qmem_hit ? 32'h0000_0000 : qmemdcpu_dat_i;
285
 
286
//
287
// Address comparison whether QMEM was hit
288
//
289
`ifdef OR1200_QMEM_IADDR
290
assign iaddr_qmem_hit = (qmemimmu_adr_i & `OR1200_QMEM_IMASK) == `OR1200_QMEM_IADDR;
291
`else
292
assign iaddr_qmem_hit = 1'b0;
293
`endif
294
 
295
`ifdef OR1200_QMEM_DADDR
296
assign daddr_qmem_hit = (qmemdmmu_adr_i & `OR1200_QMEM_DMASK) == `OR1200_QMEM_DADDR;
297
`else
298
assign daddr_qmem_hit = 1'b0;
299
`endif
300
 
301
//
302
//
303
//
304
assign qmem_en = iaddr_qmem_hit & qmemimmu_cycstb_i | daddr_qmem_hit & qmemdmmu_cycstb_i;
305
assign qmem_we = qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i;
306
`ifdef OR1200_QMEM_BSEL
307
assign qmem_sel = (qmemdmmu_cycstb_i & daddr_qmem_hit) ? qmemdcpu_sel_i : qmemicpu_sel_i;
308
`endif
309
assign qmem_di = qmemdcpu_dat_i;
310
assign qmem_addr = (qmemdmmu_cycstb_i & daddr_qmem_hit) ? qmemdmmu_adr_i : qmemimmu_adr_i;
311
 
312
//
313
// QMEM control FSM
314
//
315
always @(posedge rst or posedge clk)
316
        if (rst) begin
317
                state <= #1 `OR1200_QMEMFSM_IDLE;
318
                qmem_dack <= #1 1'b0;
319
                qmem_iack <= #1 1'b0;
320
        end
321
        else case (state)       // synopsys parallel_case
322
                `OR1200_QMEMFSM_IDLE: begin
323
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i & qmem_ack) begin
324
                                state <= #1 `OR1200_QMEMFSM_STORE;
325
                                qmem_dack <= #1 1'b1;
326
                                qmem_iack <= #1 1'b0;
327
                        end
328
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmem_ack) begin
329
                                state <= #1 `OR1200_QMEMFSM_LOAD;
330
                                qmem_dack <= #1 1'b1;
331
                                qmem_iack <= #1 1'b0;
332
                        end
333
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit & qmem_ack) begin
334
                                state <= #1 `OR1200_QMEMFSM_FETCH;
335
                                qmem_iack <= #1 1'b1;
336
                                qmem_dack <= #1 1'b0;
337
                        end
338
                end
339
                `OR1200_QMEMFSM_STORE: begin
340
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i & qmem_ack) begin
341
                                state <= #1 `OR1200_QMEMFSM_STORE;
342
                                qmem_dack <= #1 1'b1;
343
                                qmem_iack <= #1 1'b0;
344
                        end
345
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmem_ack) begin
346
                                state <= #1 `OR1200_QMEMFSM_LOAD;
347
                                qmem_dack <= #1 1'b1;
348
                                qmem_iack <= #1 1'b0;
349
                        end
350
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit & qmem_ack) begin
351
                                state <= #1 `OR1200_QMEMFSM_FETCH;
352
                                qmem_iack <= #1 1'b1;
353
                                qmem_dack <= #1 1'b0;
354
                        end
355
                        else begin
356
                                state <= #1 `OR1200_QMEMFSM_IDLE;
357
                                qmem_dack <= #1 1'b0;
358
                                qmem_iack <= #1 1'b0;
359
                        end
360
                end
361
                `OR1200_QMEMFSM_LOAD: begin
362
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i & qmem_ack) begin
363
                                state <= #1 `OR1200_QMEMFSM_STORE;
364
                                qmem_dack <= #1 1'b1;
365
                                qmem_iack <= #1 1'b0;
366
                        end
367
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmem_ack) begin
368
                                state <= #1 `OR1200_QMEMFSM_LOAD;
369
                                qmem_dack <= #1 1'b1;
370
                                qmem_iack <= #1 1'b0;
371
                        end
372
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit & qmem_ack) begin
373
                                state <= #1 `OR1200_QMEMFSM_FETCH;
374
                                qmem_iack <= #1 1'b1;
375
                                qmem_dack <= #1 1'b0;
376
                        end
377
                        else begin
378
                                state <= #1 `OR1200_QMEMFSM_IDLE;
379
                                qmem_dack <= #1 1'b0;
380
                                qmem_iack <= #1 1'b0;
381
                        end
382
                end
383
                `OR1200_QMEMFSM_FETCH: begin
384
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i & qmem_ack) begin
385
                                state <= #1 `OR1200_QMEMFSM_STORE;
386
                                qmem_dack <= #1 1'b1;
387
                                qmem_iack <= #1 1'b0;
388
                        end
389
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmem_ack) begin
390
                                state <= #1 `OR1200_QMEMFSM_LOAD;
391
                                qmem_dack <= #1 1'b1;
392
                                qmem_iack <= #1 1'b0;
393
                        end
394
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit & qmem_ack) begin
395
                                state <= #1 `OR1200_QMEMFSM_FETCH;
396
                                qmem_iack <= #1 1'b1;
397
                                qmem_dack <= #1 1'b0;
398
                        end
399
                        else begin
400
                                state <= #1 `OR1200_QMEMFSM_IDLE;
401
                                qmem_dack <= #1 1'b0;
402
                                qmem_iack <= #1 1'b0;
403
                        end
404
                end
405
                default: begin
406
                        state <= #1 `OR1200_QMEMFSM_IDLE;
407
                        qmem_dack <= #1 1'b0;
408
                        qmem_iack <= #1 1'b0;
409
                end
410
        endcase
411
 
412
//
413
// Instantiation of embedded memory
414
//
415
or1200_spram_2048x32 or1200_qmem_ram(
416
        .clk(clk),
417
        .rst(rst),
418
`ifdef OR1200_BIST
419
        // RAM BIST
420
        .mbist_si_i(mbist_si_i),
421
        .mbist_so_o(mbist_so_o),
422
        .mbist_ctrl_i(mbist_ctrl_i),
423
`endif
424
        .addr(qmem_addr[12:2]),
425
`ifdef OR1200_QMEM_BSEL
426
        .sel(qmem_sel),
427
`endif
428
`ifdef OR1200_QMEM_ACK
429
  .ack(qmem_ack),
430
`endif
431
  .ce(qmem_en),
432
        .we(qmem_we),
433
        .oe(1'b1),
434
        .di(qmem_di),
435
        .doq(qmem_do)
436
);
437
 
438
`else  // OR1200_QMEM_IMPLEMENTED
439
 
440
//
441
// QMEM and CPU/IMMU
442
//
443
assign qmemicpu_dat_o = icqmem_dat_i;
444
assign qmemicpu_ack_o = icqmem_ack_i;
445
assign qmemimmu_rty_o = icqmem_rty_i;
446
assign qmemimmu_err_o = icqmem_err_i;
447
assign qmemimmu_tag_o = icqmem_tag_i;
448
 
449
//
450
// QMEM and IC
451
//
452
assign icqmem_adr_o = qmemimmu_adr_i;
453
assign icqmem_cycstb_o = qmemimmu_cycstb_i;
454
assign icqmem_ci_o = qmemimmu_ci_i;
455
assign icqmem_sel_o = qmemicpu_sel_i;
456
assign icqmem_tag_o = qmemicpu_tag_i;
457
 
458
//
459
// QMEM and CPU/DMMU
460
//
461
 
462
// SynEDA CoreMultiplier
463
// assignment(s): qmemdcpu_dat_o
464
// replace(s): dcqmem_dat_i
465
assign qmemdcpu_dat_o = dcqmem_dat_i_cml_1;
466
assign qmemdcpu_ack_o = dcqmem_ack_i;
467
assign qmemdcpu_rty_o = dcqmem_rty_i;
468
assign qmemdmmu_err_o = dcqmem_err_i;
469
assign qmemdmmu_tag_o = dcqmem_tag_i;
470
 
471
//
472
// QMEM and DC
473
//
474
assign dcqmem_adr_o = qmemdmmu_adr_i;
475
assign dcqmem_cycstb_o = qmemdmmu_cycstb_i;
476
assign dcqmem_ci_o = qmemdmmu_ci_i;
477
 
478
// SynEDA CoreMultiplier
479
// assignment(s): dcqmem_we_o
480
// replace(s): qmemdcpu_we_i
481
assign dcqmem_we_o = qmemdcpu_we_i_cml_1;
482
assign dcqmem_sel_o = qmemdcpu_sel_i;
483
assign dcqmem_tag_o = qmemdcpu_tag_i;
484
assign dcqmem_dat_o = qmemdcpu_dat_i;
485
 
486
`ifdef OR1200_BIST
487
assign mbist_so_o = mbist_si_i;
488
`endif
489
 
490
`endif
491
 
492
 
493
always @ (posedge clk_i_cml_1) begin
494
qmemdcpu_we_i_cml_1 <= qmemdcpu_we_i;
495
dcqmem_dat_i_cml_1 <= dcqmem_dat_i;
496
end
497
endmodule
498
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.