OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm4/] [verilog/] [or1200_pic.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Programmable Interrupt Controller                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  PIC according to OR1K architectural specification.          ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.3  2002/03/29 15:16:56  lampret
48
// Some of the warnings fixed.
49
//
50
// Revision 1.2  2002/01/18 07:56:00  lampret
51
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
52
//
53
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56
// Revision 1.8  2001/10/21 17:57:16  lampret
57
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
58
//
59
// Revision 1.7  2001/10/14 13:12:10  lampret
60
// MP3 version.
61
//
62
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
63
// no message
64
//
65
// Revision 1.2  2001/08/09 13:39:33  lampret
66
// Major clean-up.
67
//
68
// Revision 1.1  2001/07/20 00:46:21  lampret
69
// Development version of RTL. Libraries are missing.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
module or1200_pic_cm4(
79
                clk_i_cml_1,
80
                clk_i_cml_2,
81
                clk_i_cml_3,
82
 
83
        // RISC Internal Interface
84
        clk, rst, spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
85
        pic_wakeup, intr,
86
 
87
        // PIC Interface
88
        pic_int
89
);
90
 
91
 
92
input clk_i_cml_1;
93
input clk_i_cml_2;
94
input clk_i_cml_3;
95
reg  spr_write_cml_3;
96
reg  spr_write_cml_2;
97
reg  spr_write_cml_1;
98
reg [ 31 : 0 ] spr_addr_cml_3;
99
reg [ 31 : 0 ] spr_addr_cml_2;
100
reg [ 31 : 0 ] spr_addr_cml_1;
101
reg [ 31 : 0 ] spr_dat_i_cml_3;
102
reg [ 31 : 0 ] spr_dat_i_cml_2;
103
reg [ 31 : 0 ] spr_dat_i_cml_1;
104
reg  intr_cml_3;
105
reg  intr_cml_2;
106
reg [ 20 - 1 : 0 ] pic_int_cml_1;
107
reg [ 20 - 1 : 2 ] picmr_cml_3;
108
reg [ 20 - 1 : 2 ] picmr_cml_2;
109
reg [ 20 - 1 : 2 ] picmr_cml_1;
110
reg [ 20 - 1 : 0 ] picsr_cml_3;
111
reg [ 20 - 1 : 0 ] picsr_cml_2;
112
reg [ 20 - 1 : 0 ] picsr_cml_1;
113
reg [ 20 - 1 : 0 ] um_ints_cml_3;
114
reg [ 20 - 1 : 0 ] um_ints_cml_2;
115
 
116
 
117
 
118
//
119
// RISC Internal Interface
120
//
121
input           clk;            // Clock
122
input           rst;            // Reset
123
input           spr_cs;         // SPR CS
124
input           spr_write;      // SPR Write
125
input   [31:0]   spr_addr;       // SPR Address
126
input   [31:0]   spr_dat_i;      // SPR Write Data
127
output  [31:0]   spr_dat_o;      // SPR Read Data
128
output          pic_wakeup;     // Wakeup to the PM
129
output          intr;           // interrupt
130
                                // exception request
131
 
132
//
133
// PIC Interface
134
//
135
input   [`OR1200_PIC_INTS-1:0]   pic_int;// Interrupt inputs
136
 
137
`ifdef OR1200_PIC_IMPLEMENTED
138
 
139
//
140
// PIC Mask Register bits (or no register)
141
//
142
`ifdef OR1200_PIC_PICMR
143
reg     [`OR1200_PIC_INTS-1:2]  picmr;  // PICMR bits
144
`else
145
wire    [`OR1200_PIC_INTS-1:2]  picmr;  // No PICMR register
146
`endif
147
 
148
//
149
// PIC Status Register bits (or no register)
150
//
151
`ifdef OR1200_PIC_PICSR
152
reg     [`OR1200_PIC_INTS-1:0]   picsr;  // PICSR bits
153
`else
154
wire    [`OR1200_PIC_INTS-1:0]   picsr;  // No PICSR register
155
`endif
156
 
157
//
158
// Internal wires & regs
159
//
160
wire            picmr_sel;      // PICMR select
161
wire            picsr_sel;      // PICSR select
162
wire    [`OR1200_PIC_INTS-1:0] um_ints;// Unmasked interrupts
163
reg     [31:0]   spr_dat_o;      // SPR data out
164
 
165
//
166
// PIC registers address decoder
167
//
168
 
169
// SynEDA CoreMultiplier
170
// assignment(s): picmr_sel
171
// replace(s): spr_addr
172
assign picmr_sel = (spr_cs && (spr_addr_cml_3[`OR1200_PICOFS_BITS] == `OR1200_PIC_OFS_PICMR)) ? 1'b1 : 1'b0;
173
 
174
// SynEDA CoreMultiplier
175
// assignment(s): picsr_sel
176
// replace(s): spr_addr
177
assign picsr_sel = (spr_cs && (spr_addr_cml_3[`OR1200_PICOFS_BITS] == `OR1200_PIC_OFS_PICSR)) ? 1'b1 : 1'b0;
178
 
179
//
180
// Write to PICMR
181
//
182
`ifdef OR1200_PIC_PICMR
183
 
184
// SynEDA CoreMultiplier
185
// assignment(s): picmr
186
// replace(s): spr_write, spr_dat_i, picmr
187
always @(posedge clk or posedge rst)
188
        if (rst)
189
                picmr <= {1'b1, {`OR1200_PIC_INTS-3{1'b0}}};
190
        else begin  picmr <= picmr_cml_3; if (picmr_sel && spr_write_cml_3) begin
191
                picmr <= #1 spr_dat_i_cml_3[`OR1200_PIC_INTS-1:2];
192
        end end
193
`else
194
assign picmr = (`OR1200_PIC_INTS)'b1;
195
`endif
196
 
197
//
198
// Write to PICSR, both CPU and external ints
199
//
200
`ifdef OR1200_PIC_PICSR
201
 
202
// SynEDA CoreMultiplier
203
// assignment(s): picsr
204
// replace(s): spr_write, spr_dat_i, picsr, um_ints
205
always @(posedge clk or posedge rst)
206
        if (rst)
207
                picsr <= {`OR1200_PIC_INTS{1'b0}};
208
        else begin  picsr <= picsr_cml_3; if (picsr_sel && spr_write_cml_3) begin
209
                picsr <= #1 spr_dat_i_cml_3[`OR1200_PIC_INTS-1:0] | um_ints_cml_3;
210
        end else begin
211
                picsr <= #1 picsr_cml_3 | um_ints_cml_3;
212
        end end
213
`else
214
assign picsr = pic_int;
215
`endif
216
 
217
//
218
// Read PIC registers
219
//
220
 
221
// SynEDA CoreMultiplier
222
// assignment(s): spr_dat_o
223
// replace(s): spr_addr, picmr, picsr
224
always @(spr_addr_cml_1 or picmr_cml_1 or picsr_cml_1)
225
        case (spr_addr_cml_1[`OR1200_PICOFS_BITS])      // synopsys parallel_case
226
`ifdef OR1200_PIC_READREGS
227
                `OR1200_PIC_OFS_PICMR: begin
228
                                        spr_dat_o[`OR1200_PIC_INTS-1:0] = {picmr_cml_1, 2'b0};
229
`ifdef OR1200_PIC_UNUSED_ZERO
230
                                        spr_dat_o[31:`OR1200_PIC_INTS] = {32-`OR1200_PIC_INTS{1'b0}};
231
`endif
232
                                end
233
`endif
234
                default: begin
235
                                spr_dat_o[`OR1200_PIC_INTS-1:0] = picsr_cml_1;
236
`ifdef OR1200_PIC_UNUSED_ZERO
237
                                spr_dat_o[31:`OR1200_PIC_INTS] = {32-`OR1200_PIC_INTS{1'b0}};
238
`endif
239
                        end
240
        endcase
241
 
242
//
243
// Unmasked interrupts
244
//
245
 
246
// SynEDA CoreMultiplier
247
// assignment(s): um_ints
248
// replace(s): pic_int, picmr
249
assign um_ints = pic_int_cml_1 & {picmr_cml_1, 2'b11};
250
 
251
//
252
// Generate intr
253
//
254
assign intr = |um_ints;
255
 
256
//
257
// Assert pic_wakeup when intr is asserted
258
//
259
 
260
// SynEDA CoreMultiplier
261
// assignment(s): pic_wakeup
262
// replace(s): intr
263
assign pic_wakeup = intr_cml_3;
264
 
265
`else
266
 
267
//
268
// When PIC is not implemented, drive all outputs as would when PIC is disabled
269
//
270
assign intr = pic_int[1] | pic_int[0];
271
assign pic_wakeup= intr;
272
 
273
//
274
// Read PIC registers
275
//
276
`ifdef OR1200_PIC_READREGS
277
assign spr_dat_o[`OR1200_PIC_INTS-1:0] = `OR1200_PIC_INTS'b0;
278
`ifdef OR1200_PIC_UNUSED_ZERO
279
assign spr_dat_o[31:`OR1200_PIC_INTS] = 32-`OR1200_PIC_INTS'b0;
280
`endif
281
`endif
282
 
283
`endif
284
 
285
 
286
always @ (posedge clk_i_cml_1) begin
287
spr_write_cml_1 <= spr_write;
288
spr_addr_cml_1 <= spr_addr;
289
spr_dat_i_cml_1 <= spr_dat_i;
290
pic_int_cml_1 <= pic_int;
291
picmr_cml_1 <= picmr;
292
picsr_cml_1 <= picsr;
293
end
294
always @ (posedge clk_i_cml_2) begin
295
spr_write_cml_2 <= spr_write_cml_1;
296
spr_addr_cml_2 <= spr_addr_cml_1;
297
spr_dat_i_cml_2 <= spr_dat_i_cml_1;
298
intr_cml_2 <= intr;
299
picmr_cml_2 <= picmr_cml_1;
300
picsr_cml_2 <= picsr_cml_1;
301
um_ints_cml_2 <= um_ints;
302
end
303
always @ (posedge clk_i_cml_3) begin
304
spr_write_cml_3 <= spr_write_cml_2;
305
spr_addr_cml_3 <= spr_addr_cml_2;
306
spr_dat_i_cml_3 <= spr_dat_i_cml_2;
307
intr_cml_3 <= intr_cml_2;
308
picmr_cml_3 <= picmr_cml_2;
309
picsr_cml_3 <= picsr_cml_2;
310
um_ints_cml_3 <= um_ints_cml_2;
311
end
312
endmodule
313
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.