OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm4/] [verilog/] [or1200_rf.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file inside CPU                           ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of register file memories                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.2  2002/06/08 16:19:09  lampret
48
// Added generic flip-flop based memory macro instantiation.
49
//
50
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53
// Revision 1.13  2001/11/20 18:46:15  simons
54
// Break point bug fixed
55
//
56
// Revision 1.12  2001/11/13 10:02:21  lampret
57
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
58
//
59
// Revision 1.11  2001/11/12 01:45:40  lampret
60
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
61
//
62
// Revision 1.10  2001/11/10 03:43:57  lampret
63
// Fixed exceptions.
64
//
65
// Revision 1.9  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.8  2001/10/14 13:12:10  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
72
// no message
73
//
74
// Revision 1.3  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
// Revision 1.2  2001/07/22 03:31:54  lampret
78
// Fixed RAM's oen bug. Cache bypass under development.
79
//
80
// Revision 1.1  2001/07/20 00:46:21  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
module or1200_rf_cm4(
91
                clk_i_cml_1,
92
                clk_i_cml_2,
93
                clk_i_cml_3,
94
                cmls,
95
 
96
        // Clock and reset
97
        clk, rst,
98
 
99
        // Write i/f
100
        supv, wb_freeze, addrw, dataw, we, flushpipe,
101
 
102
        // Read i/f
103
        id_freeze, addra, addrb, dataa, datab, rda, rdb,
104
 
105
        // Debug
106
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
107
);
108
 
109
 
110
input clk_i_cml_1;
111
input clk_i_cml_2;
112
input clk_i_cml_3;
113
input [1:0] cmls;
114
reg  wb_freeze_cml_3;
115
reg [ 5 - 1 : 0 ] addrw_cml_2;
116
reg [ 5 - 1 : 0 ] addrw_cml_1;
117
reg [ 32 - 1 : 0 ] dataw_cml_3;
118
reg  spr_write_cml_3;
119
reg  spr_write_cml_2;
120
reg  spr_write_cml_1;
121
reg [ 31 : 0 ] spr_addr_cml_3;
122
reg [ 31 : 0 ] spr_addr_cml_2;
123
reg [ 31 : 0 ] spr_addr_cml_1;
124
reg [ 31 : 0 ] spr_dat_i_cml_3;
125
reg [ 31 : 0 ] spr_dat_i_cml_2;
126
reg [ 31 : 0 ] spr_dat_i_cml_1;
127
reg [ 32 - 1 : 0 ] from_rfa_cml_3;
128
reg [ 32 - 1 : 0 ] from_rfa_cml_2;
129
reg [ 32 : 0 ] dataa_saved_cml_3;
130
reg [ 32 : 0 ] dataa_saved_cml_2;
131
reg [ 32 : 0 ] dataa_saved_cml_1;
132
reg [ 32 : 0 ] datab_saved_cml_3;
133
reg [ 32 : 0 ] datab_saved_cml_2;
134
reg [ 32 : 0 ] datab_saved_cml_1;
135
reg [ 5 - 1 : 0 ] rf_addrw_cml_3;
136
reg  spr_valid_cml_3;
137
reg  rf_we_allow_cml_3;
138
reg  rf_we_allow_cml_2;
139
reg  rf_we_allow_cml_1;
140
reg [ 32 - 1 : 0 ] from_rfa_int_cml_1;
141
reg [ 32 - 1 : 0 ] from_rfb_int_cml_3;
142
reg [ 32 - 1 : 0 ] from_rfb_int_cml_2;
143
reg [ 32 - 1 : 0 ] from_rfb_int_cml_1;
144
reg [ 4 : 0 ] rf_addra_reg_cml_3;
145
reg [ 4 : 0 ] rf_addra_reg_cml_2;
146
reg [ 4 : 0 ] rf_addra_reg_cml_1;
147
reg [ 4 : 0 ] rf_addrb_reg_cml_3;
148
reg [ 4 : 0 ] rf_addrb_reg_cml_2;
149
reg [ 4 : 0 ] rf_addrb_reg_cml_1;
150
 
151
 
152
 
153
parameter dw = `OR1200_OPERAND_WIDTH;
154
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
155
 
156
//
157
// I/O
158
//
159
 
160
//
161
// Clock and reset
162
//
163
input                           clk;
164
input                           rst;
165
 
166
//
167
// Write i/f
168
//
169
input                           supv;
170
input                           wb_freeze;
171
input   [aw-1:0]         addrw;
172
input   [dw-1:0]         dataw;
173
input                           we;
174
input                           flushpipe;
175
 
176
//
177
// Read i/f
178
//
179
input                           id_freeze;
180
input   [aw-1:0]         addra;
181
input   [aw-1:0]         addrb;
182
output  [dw-1:0]         dataa;
183
output  [dw-1:0]         datab;
184
input                           rda;
185
input                           rdb;
186
 
187
//
188
// SPR access for debugging purposes
189
//
190
input                           spr_cs;
191
input                           spr_write;
192
input   [31:0]                   spr_addr;
193
input   [31:0]                   spr_dat_i;
194
output  [31:0]                   spr_dat_o;
195
 
196
//
197
// Internal wires and regs
198
//
199
wire    [dw-1:0]         from_rfa;
200
wire    [dw-1:0]         from_rfb;
201
reg     [dw:0]                   dataa_saved;
202
reg     [dw:0]                   datab_saved;
203
wire    [aw-1:0]         rf_addra;
204
wire    [aw-1:0]         rf_addrw;
205
wire    [dw-1:0]         rf_dataw;
206
wire                            rf_we;
207
wire                            spr_valid;
208
wire                            rf_ena;
209
wire                            rf_enb;
210
reg                             rf_we_allow;
211
 
212
//
213
// SPR access is valid when spr_cs is asserted and
214
// SPR address matches GPR addresses
215
//
216
 
217
// SynEDA CoreMultiplier
218
// assignment(s): spr_valid
219
// replace(s): spr_addr
220
assign spr_valid = spr_cs & (spr_addr_cml_2[10:5] == `OR1200_SPR_RF);
221
 
222
//
223
// SPR data output is always from RF A
224
//
225
assign spr_dat_o = from_rfa;
226
 
227
//
228
// Operand A comes from RF or from saved A register
229
//
230
 
231
// SynEDA CoreMultiplier
232
// assignment(s): dataa
233
// replace(s): from_rfa, dataa_saved
234
assign dataa = (dataa_saved_cml_3[32]) ? dataa_saved_cml_3[31:0] : from_rfa_cml_3;
235
 
236
//
237
// Operand B comes from RF or from saved B register
238
//
239
 
240
// SynEDA CoreMultiplier
241
// assignment(s): datab
242
// replace(s): datab_saved
243
assign datab = (datab_saved_cml_3[32]) ? datab_saved_cml_3[31:0] : from_rfb;
244
 
245
//
246
// RF A read address is either from SPRS or normal from CPU control
247
//
248
 
249
// SynEDA CoreMultiplier
250
// assignment(s): rf_addra
251
// replace(s): spr_write, spr_addr, spr_valid
252
assign rf_addra = (spr_valid_cml_3 & !spr_write_cml_3) ? spr_addr_cml_3[4:0] : addra;
253
 
254
//
255
// RF write address is either from SPRS or normal from CPU control
256
//
257
 
258
// SynEDA CoreMultiplier
259
// assignment(s): rf_addrw
260
// replace(s): addrw, spr_write, spr_addr
261
assign rf_addrw = (spr_valid & spr_write_cml_2) ? spr_addr_cml_2[4:0] : addrw_cml_2;
262
 
263
//
264
// RF write data is either from SPRS or normal from CPU datapath
265
//
266
 
267
// SynEDA CoreMultiplier
268
// assignment(s): rf_dataw
269
// replace(s): dataw, spr_write, spr_dat_i, spr_valid
270
assign rf_dataw = (spr_valid_cml_3 & spr_write_cml_3) ? spr_dat_i_cml_3 : dataw_cml_3;
271
 
272
//
273
// RF write enable is either from SPRS or normal from CPU control
274
//
275
 
276
// SynEDA CoreMultiplier
277
// assignment(s): rf_we_allow
278
// replace(s): wb_freeze, rf_we_allow
279
always @(posedge rst or posedge clk)
280
        if (rst)
281
                rf_we_allow <= #1 1'b1;
282
        else begin  rf_we_allow <= rf_we_allow_cml_3; if (~wb_freeze_cml_3)
283
                rf_we_allow <= #1 ~flushpipe; end
284
 
285
 
286
// SynEDA CoreMultiplier
287
// assignment(s): rf_we
288
// replace(s): wb_freeze, spr_write, rf_addrw, spr_valid, rf_we_allow
289
assign rf_we = ((spr_valid_cml_3 & spr_write_cml_3) | (we & ~wb_freeze_cml_3)) & rf_we_allow_cml_3 & (supv | (|rf_addrw_cml_3));
290
 
291
//
292
// CS RF A asserted when instruction reads operand A and ID stage
293
// is not stalled
294
//
295
 
296
// SynEDA CoreMultiplier
297
// assignment(s): rf_ena
298
// replace(s): spr_valid
299
assign rf_ena = rda & ~id_freeze | spr_valid_cml_3;     // probably works with fixed binutils
300
// assign rf_ena = 1'b1;                        // does not work with single-stepping
301
//assign rf_ena = ~id_freeze | spr_valid;       // works with broken binutils 
302
 
303
//
304
// CS RF B asserted when instruction reads operand B and ID stage
305
// is not stalled
306
//
307
 
308
// SynEDA CoreMultiplier
309
// assignment(s): rf_enb
310
// replace(s): spr_valid
311
assign rf_enb = rdb & ~id_freeze | spr_valid_cml_3;
312
// assign rf_enb = 1'b1;
313
//assign rf_enb = ~id_freeze | spr_valid;       // works with broken binutils 
314
 
315
//
316
// Stores operand from RF_A into temp reg when pipeline is frozen
317
//
318
 
319
// SynEDA CoreMultiplier
320
// assignment(s): dataa_saved
321
// replace(s): from_rfa, dataa_saved
322
always @(posedge clk or posedge rst)
323
        if (rst) begin
324
                dataa_saved <= #1 33'b0;
325
        end
326
        else begin  dataa_saved <= dataa_saved_cml_3; if (id_freeze & !dataa_saved_cml_3[32]) begin
327
                dataa_saved <= #1 {1'b1, from_rfa_cml_3};
328
        end
329
        else if (!id_freeze)
330
                dataa_saved <= #1 33'b0; end
331
 
332
//
333
// Stores operand from RF_B into temp reg when pipeline is frozen
334
//
335
 
336
// SynEDA CoreMultiplier
337
// assignment(s): datab_saved
338
// replace(s): datab_saved
339
always @(posedge clk or posedge rst)
340
        if (rst) begin
341
                datab_saved <= #1 33'b0;
342
        end
343
        else begin  datab_saved <= datab_saved_cml_3; if (id_freeze & !datab_saved_cml_3[32]) begin
344
                datab_saved <= #1 {1'b1, from_rfb};
345
        end
346
        else if (!id_freeze)
347
                datab_saved <= #1 33'b0; end
348
 
349
`ifdef OR1200_RFRAM_TWOPORT
350
 
351
//
352
// Instantiation of register file two-port RAM A
353
//
354
or1200_tpram_32x32 rf_a(
355
        // Port A
356
        .clk_a(clk),
357
        .rst_a(rst),
358
        .ce_a(rf_ena),
359
        .we_a(1'b0),
360
        .oe_a(1'b1),
361
        .addr_a(rf_addra),
362
        .di_a(32'h0000_0000),
363
        .do_a(from_rfa),
364
 
365
        // Port B
366
        .clk_b(clk),
367
        .rst_b(rst),
368
        .ce_b(rf_we),
369
        .we_b(rf_we),
370
        .oe_b(1'b0),
371
        .addr_b(rf_addrw),
372
        .di_b(rf_dataw),
373
        .do_b()
374
);
375
 
376
//
377
// Instantiation of register file two-port RAM B
378
//
379
or1200_tpram_32x32 rf_b(
380
        // Port A
381
        .clk_a(clk),
382
        .rst_a(rst),
383
        .ce_a(rf_enb),
384
        .we_a(1'b0),
385
        .oe_a(1'b1),
386
        .addr_a(addrb),
387
        .di_a(32'h0000_0000),
388
        .do_a(from_rfb),
389
 
390
        // Port B
391
        .clk_b(clk),
392
        .rst_b(rst),
393
        .ce_b(rf_we),
394
        .we_b(rf_we),
395
        .oe_b(1'b0),
396
        .addr_b(rf_addrw),
397
        .di_b(rf_dataw),
398
        .do_b()
399
);
400
 
401
`else
402
 
403
`ifdef OR1200_RFRAM_DUALPORT
404
 
405
//
406
// Instantiation of register file two-port RAM A
407
//
408
or1200_dpram_32x32 rf_a(
409
        // Port A
410
        .clk_a(clk),
411
        .rst_a(rst),
412
        .ce_a(rf_ena),
413
        .oe_a(1'b1),
414
        .addr_a(rf_addra),
415
        .do_a(from_rfa),
416
 
417
        // Port B
418
        .clk_b(clk),
419
        .rst_b(rst),
420
        .ce_b(rf_we),
421
        .we_b(rf_we),
422
        .addr_b(rf_addrw),
423
        .di_b(rf_dataw)
424
);
425
 
426
//
427
// Instantiation of register file two-port RAM B
428
//
429
or1200_dpram_32x32 rf_b(
430
        // Port A
431
        .clk_a(clk),
432
        .rst_a(rst),
433
        .ce_a(rf_enb),
434
        .oe_a(1'b1),
435
        .addr_a(addrb),
436
        .do_a(from_rfb),
437
 
438
        // Port B
439
        .clk_b(clk),
440
        .rst_b(rst),
441
        .ce_b(rf_we),
442
        .we_b(rf_we),
443
        .addr_b(rf_addrw),
444
        .di_b(rf_dataw)
445
);
446
 
447
`else
448
 
449
`ifdef OR1200_RFRAM_GENERIC
450
 
451
//
452
// Instantiation of generic (flip-flop based) register file
453
//
454
or1200_rfram_generic rf_a(
455
        // Clock and reset
456
        .clk(clk),
457
        .rst(rst),
458
 
459
        // Port A
460
        .ce_a(rf_ena),
461
        .addr_a(rf_addra),
462
        .do_a(from_rfa),
463
 
464
        // Port B
465
        .ce_b(rf_enb),
466
        .addr_b(addrb),
467
        .do_b(from_rfb),
468
 
469
        // Port W
470
        .ce_w(rf_we),
471
        .we_w(rf_we),
472
        .addr_w(rf_addrw),
473
        .di_w(rf_dataw)
474
);
475
 
476
`else
477
 
478
 
479
`ifdef OR1200_RAM_MODELS_VIRTEX
480
 
481
//
482
//      Non-generic FPGA model instantiations
483
//
484
 
485
//      write port: no add-reg
486
//      read port: add-reg
487
 
488
//      write port
489
//      a -> rf_addrw
490
//      d -> rf_dataw
491
//      we -> rf_we
492
//      spo -> open
493
 
494
//      read port
495
//      dpra -> rf_addra_reg registered
496
//      dpo -> from_rfa_int
497
 
498
wire    [dw-1:0]         from_rfa_int;
499
wire    [dw-1:0]         from_rfb_int;
500
 
501
reg     [4:0]    rf_addra_reg;           // RAM address a registered
502
reg     [4:0]    rf_addrb_reg;           // RAM address b registered
503
 
504
 
505
// SynEDA CoreMultiplier
506
// assignment(s): rf_addra_reg
507
// replace(s): rf_addra_reg
508
always @(posedge clk or posedge rst)
509
        if (rst)
510
                rf_addra_reg <= #1 {32{1'b0}};
511
        else begin  rf_addra_reg <= rf_addra_reg_cml_3; if (rf_ena)
512
                rf_addra_reg <= #1 rf_addra; end
513
 
514
 
515
 
516
// SynEDA CoreMultiplier
517
// assignment(s): rf_addrb_reg
518
// replace(s): rf_addrb_reg
519
always @(posedge clk or posedge rst)
520
        if (rst)
521
                rf_addrb_reg <= #1 {32{1'b0}};
522
        else begin  rf_addrb_reg <= rf_addrb_reg_cml_3; if (rf_enb)
523
                rf_addrb_reg <= #1 addrb; end
524
 
525
rf_sub_cm4_22 rf_sub_ia(
526
                .clk_i_cml_3(clk_i_cml_3),
527
                .cmls(cmls),
528
        .a(rf_addrw),
529
        .d(rf_dataw),
530
        .dpra(rf_addra_reg),
531
        .clk(clk),
532
        .we(rf_we),
533
        .spo(),
534
        .dpo(from_rfa_int));
535
 
536
rf_sub_cm4_24 rf_sub_ib(
537
                .clk_i_cml_3(clk_i_cml_3),
538
                .cmls(cmls),
539
        .a(rf_addrw),
540
        .d(rf_dataw),
541
        .dpra(rf_addrb_reg),
542
        .clk(clk),
543
        .we(rf_we),
544
        .spo(),
545
        .dpo(from_rfb_int));
546
 
547
 
548
// SynEDA CoreMultiplier
549
// assignment(s): from_rfa
550
// replace(s): from_rfa_int, rf_addra_reg
551
assign from_rfa = (rf_addra_reg_cml_1 == 5'h00) ? 32'h00000000 : from_rfa_int_cml_1;
552
 
553
// SynEDA CoreMultiplier
554
// assignment(s): from_rfb
555
// replace(s): from_rfb_int, rf_addrb_reg
556
assign from_rfb = (rf_addrb_reg_cml_3 == 5'h00) ? 32'h00000000 : from_rfb_int_cml_3;
557
 
558
`else
559
 
560
//
561
// RFRAM type not specified
562
//
563
initial begin
564
        $display("Define RFRAM type.");
565
        $finish;
566
end
567
 
568
`endif
569
`endif
570
`endif
571
`endif
572
 
573
 
574
always @ (posedge clk_i_cml_1) begin
575
addrw_cml_1 <= addrw;
576
spr_write_cml_1 <= spr_write;
577
spr_addr_cml_1 <= spr_addr;
578
spr_dat_i_cml_1 <= spr_dat_i;
579
dataa_saved_cml_1 <= dataa_saved;
580
datab_saved_cml_1 <= datab_saved;
581
rf_we_allow_cml_1 <= rf_we_allow;
582
from_rfa_int_cml_1 <= from_rfa_int;
583
from_rfb_int_cml_1 <= from_rfb_int;
584
rf_addra_reg_cml_1 <= rf_addra_reg;
585
rf_addrb_reg_cml_1 <= rf_addrb_reg;
586
end
587
always @ (posedge clk_i_cml_2) begin
588
addrw_cml_2 <= addrw_cml_1;
589
spr_write_cml_2 <= spr_write_cml_1;
590
spr_addr_cml_2 <= spr_addr_cml_1;
591
spr_dat_i_cml_2 <= spr_dat_i_cml_1;
592
from_rfa_cml_2 <= from_rfa;
593
dataa_saved_cml_2 <= dataa_saved_cml_1;
594
datab_saved_cml_2 <= datab_saved_cml_1;
595
rf_we_allow_cml_2 <= rf_we_allow_cml_1;
596
from_rfb_int_cml_2 <= from_rfb_int_cml_1;
597
rf_addra_reg_cml_2 <= rf_addra_reg_cml_1;
598
rf_addrb_reg_cml_2 <= rf_addrb_reg_cml_1;
599
end
600
always @ (posedge clk_i_cml_3) begin
601
wb_freeze_cml_3 <= wb_freeze;
602
dataw_cml_3 <= dataw;
603
spr_write_cml_3 <= spr_write_cml_2;
604
spr_addr_cml_3 <= spr_addr_cml_2;
605
spr_dat_i_cml_3 <= spr_dat_i_cml_2;
606
from_rfa_cml_3 <= from_rfa_cml_2;
607
dataa_saved_cml_3 <= dataa_saved_cml_2;
608
datab_saved_cml_3 <= datab_saved_cml_2;
609
rf_addrw_cml_3 <= rf_addrw;
610
spr_valid_cml_3 <= spr_valid;
611
rf_we_allow_cml_3 <= rf_we_allow_cml_2;
612
from_rfb_int_cml_3 <= from_rfb_int_cml_2;
613
rf_addra_reg_cml_3 <= rf_addra_reg_cml_2;
614
rf_addrb_reg_cml_3 <= rf_addrb_reg_cml_2;
615
end
616
endmodule
617
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.