OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sim/] [tests/] [boot_rom_0/] [boot_rom_0.mpf] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 qaztronic
; Copyright 1991-2007 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Xilinx Primitive Libraries
20
;
21
; VHDL Section
22
; unisim = $MODEL_TECH/../xilinx/vhdl/unisim
23
; unimacro = $MODEL_TECH/../xilinx/vhdl/unimacro
24
; simprim = $MODEL_TECH/../xilinx/vhdl/simprim
25
; xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib
26
; aim = $MODEL_TECH/../xilinx/vhdl/aim
27
; pls = $MODEL_TECH/../xilinx/vhdl/pls
28
; cpld = $MODEL_TECH/../xilinx/vhdl/cpld
29
 
30
; Verilog Section
31
; unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver
32
; unimacro_ver = $MODEL_TECH/../xilinx/verilog/unimacro_ver
33
; uni9000_ver = $MODEL_TECH/../xilinx/verilog/uni9000_ver
34
; simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver
35
; xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver
36
; aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver
37
; cpld_ver = $MODEL_TECH/../xilinx/verilog/cpld_ver
38
 
39
; or1200_soc libraries
40
gpio = ../../../libs/gpio
41
or1200 = ../../../libs/or1200
42
sim = ../../../libs/sim
43
uart16550 = ../../../libs/uart16550
44
wb_conmax = ../../../libs/wb_conmax
45
wb_size_bridge = ../../../libs/wb_size_bridge
46
 
47
 
48
work = work
49
[vcom]
50
; VHDL93 variable selects language version as the default.
51
; Default is VHDL-2002.
52
; Value of 0 or 1987 for VHDL-1987.
53
; Value of 1 or 1993 for VHDL-1993.
54
; Default or value of 2 or 2002 for VHDL-2002.
55
VHDL93 = 2002
56
 
57
; Show source line containing error. Default is off.
58
; Show_source = 1
59
 
60
; Turn off unbound-component warnings. Default is on.
61
; Show_Warning1 = 0
62
 
63
; Turn off process-without-a-wait-statement warnings. Default is on.
64
; Show_Warning2 = 0
65
 
66
; Turn off null-range warnings. Default is on.
67
; Show_Warning3 = 0
68
 
69
; Turn off no-space-in-time-literal warnings. Default is on.
70
; Show_Warning4 = 0
71
 
72
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
73
; Show_Warning5 = 0
74
 
75
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
76
; Optimize_1164 = 0
77
 
78
; Turn on resolving of ambiguous function overloading in favor of the
79
; "explicit" function declaration (not the one automatically created by
80
; the compiler for each type declaration). Default is off.
81
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
82
; will match the behavior of synthesis tools.
83
Explicit = 1
84
 
85
; Turn off acceleration of the VITAL packages. Default is to accelerate.
86
; NoVital = 1
87
 
88
; Turn off VITAL compliance checking. Default is checking on.
89
; NoVitalCheck = 1
90
 
91
; Ignore VITAL compliance checking errors. Default is to not ignore.
92
; IgnoreVitalErrors = 1
93
 
94
; Turn off VITAL compliance checking warnings. Default is to show warnings.
95
; Show_VitalChecksWarnings = 0
96
 
97
; Keep silent about case statement static warnings.
98
; Default is to give a warning.
99
; NoCaseStaticError = 1
100
 
101
; Keep silent about warnings caused by aggregates that are not locally static.
102
; Default is to give a warning.
103
; NoOthersStaticError = 1
104
 
105
; Turn off inclusion of debugging info within design units.
106
; Default is to include debugging info.
107
; NoDebug = 1
108
 
109
; Turn off "Loading..." messages. Default is messages on.
110
; Quiet = 1
111
 
112
; Turn on some limited synthesis rule compliance checking. Checks only:
113
;    -- signals used (read) by a process must be in the sensitivity list
114
; CheckSynthesis = 1
115
 
116
; Activate optimizations on expressions that do not involve signals,
117
; waits, or function/procedure/task invocations. Default is off.
118
; ScalarOpts = 1
119
 
120
; Require the user to specify a configuration for all bindings,
121
; and do not generate a compile time default binding for the
122
; component. This will result in an elaboration error of
123
; 'component not bound' if the user fails to do so. Avoids the rare
124
; issue of a false dependency upon the unused default binding.
125
; RequireConfigForAllDefaultBinding = 1
126
 
127
; Inhibit range checking on subscripts of arrays. Range checking on
128
; scalars defined with subtypes is inhibited by default.
129
; NoIndexCheck = 1
130
 
131
; Inhibit range checks on all (implicit and explicit) assignments to
132
; scalar objects defined with subtypes.
133
; NoRangeCheck = 1
134
 
135
[vlog]
136
 
137
; Turn off inclusion of debugging info within design units.
138
; Default is to include debugging info.
139
; NoDebug = 1
140
 
141
; Turn off "loading..." messages. Default is messages on.
142
; Quiet = 1
143
 
144
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
145
; Default is off.
146
; Hazard = 1
147
 
148
; Turn on converting regular Verilog identifiers to uppercase. Allows case
149
; insensitivity for module names. Default is no conversion.
150
; UpCase = 1
151
 
152
; Turn on incremental compilation of modules. Default is off.
153
; Incremental = 1
154
 
155
; Turns on lint-style checking.
156
; Show_Lint = 1
157
 
158
[vsim]
159
; Simulator resolution
160
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
161
resolution = 10ps
162
 
163
; User time unit for run commands
164
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
165
; unit specified for Resolution. For example, if Resolution is 100ps,
166
; then UserTimeUnit defaults to ps.
167
; Should generally be set to default.
168
UserTimeUnit = default
169
 
170
; Default run length
171
RunLength = 20 us
172
 
173
; Maximum iterations that can be run without advancing simulation time
174
IterationLimit = 5000
175
 
176
; Directive to license manager:
177
; vhdl          Immediately reserve a VHDL license
178
; vlog          Immediately reserve a Verilog license
179
; plus          Immediately reserve a VHDL and Verilog license
180
; nomgc         Do not look for Mentor Graphics Licenses
181
; nomti         Do not look for Model Technology Licenses
182
; noqueue       Do not wait in the license queue when a license isn't available
183
; viewsim       Try for viewer license but accept simulator license(s) instead
184
;               of queuing for viewer license
185
; License = plus
186
 
187
; Stop the simulator after a VHDL/Verilog assertion message
188
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
189
BreakOnAssertion = 3
190
 
191
; Assertion Message Format
192
; %S - Severity Level
193
; %R - Report Message
194
; %T - Time of assertion
195
; %D - Delta
196
; %I - Instance or Region pathname (if available)
197
; %% - print '%' character
198
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
199
 
200
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
201
; AssertFile = assert.log
202
 
203
; Default radix for all windows and commands...
204
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
205
DefaultRadix = hexadecimal
206
 
207
; VSIM Startup command
208
; Startup = do startup.do
209
 
210
; File for saving command transcript
211
TranscriptFile = transcript.txt
212
 
213
; File for saving command history
214
; CommandHistory = cmdhist.log
215
 
216
; Specify whether paths in simulator commands should be described
217
; in VHDL or Verilog format.
218
; For VHDL, PathSeparator = /
219
; For Verilog, PathSeparator = .
220
; Must not be the same character as DatasetSeparator.
221
PathSeparator = /
222
 
223
; Specify the dataset separator for fully rooted contexts.
224
; The default is ':'. For example, sim:/top
225
; Must not be the same character as PathSeparator.
226
DatasetSeparator = :
227
 
228
; Disable VHDL assertion messages
229
; IgnoreNote = 1
230
; IgnoreWarning = 1
231
; IgnoreError = 1
232
; IgnoreFailure = 1
233
 
234
; Default force kind. May be freeze, drive, deposit, or default
235
; or in other terms, fixed, wired, or charged.
236
; A value of "default" will use the signal kind to determine the
237
; force kind, drive for resolved signals, freeze for unresolved signals
238
; DefaultForceKind = freeze
239
 
240
; If zero, open files when elaborated; otherwise, open files on
241
; first read or write.  Default is 0.
242
; DelayFileOpen = 1
243
 
244
; Control VHDL files opened for write.
245
;   0 = Buffered, 1 = Unbuffered
246
UnbufferedOutput = 0
247
 
248
; Control the number of VHDL files open concurrently.
249
; This number should always be less than the current ulimit
250
; setting for max file descriptors.
251
;   0 = unlimited
252
ConcurrentFileLimit = 40
253
 
254
; Control the number of hierarchical regions displayed as
255
; part of a signal name shown in the Wave window.
256
; A value of zero tells VSIM to display the full name.
257
; The default is 0.
258
; WaveSignalNameWidth = 0
259
 
260
; Turn off warnings from the std_logic_arith, std_logic_unsigned
261
; and std_logic_signed packages.
262
; StdArithNoWarnings = 1
263
 
264
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
265
; NumericStdNoWarnings = 1
266
 
267
; Control the format of the (VHDL) FOR generate statement label
268
; for each iteration.  Do not quote it.
269
; The format string here must contain the conversion codes %s and %d,
270
; in that order, and no other conversion codes.  The %s represents
271
; the generate_label; the %d represents the generate parameter value
272
; at a particular generate iteration (this is the position number if
273
; the generate parameter is of an enumeration type).  Embedded whitespace
274
; is allowed (but discouraged); leading and trailing whitespace is ignored.
275
; Application of the format must result in a unique scope name over all
276
; such names in the design so that name lookup can function properly.
277
; GenerateFormat = %s__%d
278
 
279
; Specify whether checkpoint files should be compressed.
280
; The default is 1 (compressed).
281
; CheckpointCompressMode = 0
282
 
283
; List of dynamically loaded objects for Verilog PLI applications
284
; Veriuser = veriuser.sl
285
 
286
; Specify default options for the restart command. Options can be one
287
; or more of: -force -nobreakpoint -nolist -nolog -nowave
288
; DefaultRestartOptions = -force
289
 
290
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
291
; (> 500 megabyte memory footprint). Default is disabled.
292
; Specify number of megabytes to lock.
293
; LockedMemory = 1000
294
 
295
; Turn on (1) or off (0) WLF file compression.
296
; The default is 1 (compress WLF file).
297
; WLFCompress = 0
298
 
299
; Specify whether to save all design hierarchy (1) in the WLF file
300
; or only regions containing logged signals (0).
301
; The default is 0 (save only regions with logged signals).
302
; WLFSaveAllRegions = 1
303
 
304
; WLF file time limit.  Limit WLF file by time, as closely as possible,
305
; to the specified amount of simulation time.  When the limit is exceeded
306
; the earliest times get truncated from the file.
307
; If both time and size limits are specified the most restrictive is used.
308
; UserTimeUnits are used if time units are not specified.
309
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
310
; WLFTimeLimit = 0
311
 
312
; WLF file size limit.  Limit WLF file size, as closely as possible,
313
; to the specified number of megabytes.  If both time and size limits
314
; are specified then the most restrictive is used.
315
; The default is 0 (no limit).
316
; WLFSizeLimit = 1000
317
 
318
; Specify whether or not a WLF file should be deleted when the
319
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
320
; The default is 0 (do not delete WLF file when simulation ends).
321
; WLFDeleteOnQuit = 1
322
 
323
[lmc]
324
 
325
[msg_system]
326
; Change a message severity or suppress a message.
327
; The format is:  = [,...]
328
; Examples:
329
;   note = 3009
330
;   warning = 3033
331
;   error = 3010,3016
332
;   fatal = 3016,3033
333
;   suppress = 3009,3016,3043
334
; The command verror  can be used to get the complete
335
; description of a message.
336
 
337
; Control transcripting of elaboration/runtime messages.
338
; The default is to have messages appear in the transcript and
339
; recorded in the wlf file (messages that are recorded in the
340
; wlf file can be viewed in the MsgViewer).  The other settings
341
; are to send messages only to the transcript or only to the
342
; wlf file.  The valid values are
343
;    both  {default}
344
;    tran  {transcript only}
345
;    wlf   {wlf file only}
346
; msgmode = both
347
 
348
[Project]
349
; Warning -- Do not edit the project properties directly.
350
;            Property names are dynamic in nature and property
351
;            values have special syntax.  Changing property data directly
352
;            can result in a corrupt MPF file.  All project properties
353
;            can be modified through project window dialogs.
354
Project_Version = 6
355
Project_DefaultLib = work
356
Project_SortMethod = unused
357
Project_Files_Count = 19
358
Project_File_0 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_system.v
359
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1239838063 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 10 dont_compile 0 cover_expr 0 cover_stmt 0
360
Project_File_1 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/models/S29al032d_00/model/s29al032d_00.v
361
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1118235516 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0
362
Project_File_2 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_gpio.v
363
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1238545534 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0
364
Project_File_3 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_ram.v
365
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1238115511 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0
366
Project_File_4 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_registers.v
367
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 9 dont_compile 0 cover_expr 0 cover_stmt 0
368
Project_File_5 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_1.v
369
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 4 dont_compile 0 cover_expr 0 cover_stmt 0
370
Project_File_6 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_top.v
371
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1239905312 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 11 cover_expr 0 dont_compile 0 cover_stmt 0
372
Project_File_7 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_2.v
373
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1239838062 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0
374
Project_File_8 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_3.v
375
Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1239838063 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0
376
Project_File_9 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_0.v
377
Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 13 dont_compile 0 cover_expr 0 cover_stmt 0
378
Project_File_10 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/src/tb_top.v
379
Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1238111450 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
380
Project_File_11 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_1.v
381
Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 14 dont_compile 0 cover_expr 0 cover_stmt 0
382
Project_File_12 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_peripherals.v
383
Project_File_P_12 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0
384
Project_File_13 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_2.v
385
Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238115510 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 15 dont_compile 0 cover_expr 0 cover_stmt 0
386
Project_File_14 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_vector_rom.v
387
Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 12 dont_compile 0 cover_expr 0 cover_stmt 0
388
Project_File_15 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/top.v
389
Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1239838052 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
390
Project_File_16 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/tests/boot_rom_0/tb_dut.v
391
Project_File_P_16 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1264815912 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 18 cover_expr 0 dont_compile 0 cover_stmt 0
392
Project_File_17 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/models/sram/IS61LV25616AL.v
393
Project_File_P_17 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1219274282 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 16 dont_compile 0 cover_expr 0 cover_stmt 0
394
Project_File_18 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_boot.v
395
Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1238545534 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
396
Project_Sim_Count = 0
397
Project_Folder_Count = 0
398
Echo_Compile_Output = 0
399
Save_Compile_Report = 1
400
Project_Opt_Count = 0
401
ForceSoftPaths = 0
402
ProjectStatusDelay = 5000
403
VERILOG_DoubleClick = Edit
404
VERILOG_CustomDoubleClick =
405
SYSTEMVERILOG_DoubleClick = Edit
406
SYSTEMVERILOG_CustomDoubleClick =
407
VHDL_DoubleClick = Edit
408
VHDL_CustomDoubleClick =
409
PSL_DoubleClick = Edit
410
PSL_CustomDoubleClick =
411
TEXT_DoubleClick = Edit
412
TEXT_CustomDoubleClick =
413
SYSTEMC_DoubleClick = Edit
414
SYSTEMC_CustomDoubleClick =
415
TCL_DoubleClick = Edit
416
TCL_CustomDoubleClick =
417
MACRO_DoubleClick = Edit
418
MACRO_CustomDoubleClick =
419
VCD_DoubleClick = Edit
420
VCD_CustomDoubleClick =
421
SDF_DoubleClick = Edit
422
SDF_CustomDoubleClick =
423
XML_DoubleClick = Edit
424
XML_CustomDoubleClick =
425
LOGFILE_DoubleClick = Edit
426
LOGFILE_CustomDoubleClick =
427
UCDB_DoubleClick = Edit
428
UCDB_CustomDoubleClick =
429
Project_Major_Version = 6
430
Project_Minor_Version = 5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.