OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [src/] [amf_sld_virtual_jtag.v] - Blame information for rev 25

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 qaztronic
// megafunction wizard: %Virtual JTAG%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: sld_virtual_jtag 
5
 
6
// ============================================================
7
// File Name: amf_sld_virtual_jtag.v
8
// Megafunction Name(s):
9
//                      sld_virtual_jtag
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.1 Build 304 01/25/2010 SP 1 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2010 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module amf_sld_virtual_jtag (
40
        ir_out,
41
        tdo,
42
        ir_in,
43
        jtag_state_cdr,
44
        jtag_state_cir,
45
        jtag_state_e1dr,
46
        jtag_state_e1ir,
47
        jtag_state_e2dr,
48
        jtag_state_e2ir,
49
        jtag_state_pdr,
50
        jtag_state_pir,
51
        jtag_state_rti,
52
        jtag_state_sdr,
53
        jtag_state_sdrs,
54
        jtag_state_sir,
55
        jtag_state_sirs,
56
        jtag_state_tlr,
57
        jtag_state_udr,
58
        jtag_state_uir,
59
        tck,
60
        tdi,
61
        tms,
62
        virtual_state_cdr,
63
        virtual_state_cir,
64
        virtual_state_e1dr,
65
        virtual_state_e2dr,
66
        virtual_state_pdr,
67
        virtual_state_sdr,
68
        virtual_state_udr,
69
        virtual_state_uir);
70
 
71
        input   [3:0]  ir_out;
72
        input     tdo;
73
        output  [3:0]  ir_in;
74
        output    jtag_state_cdr;
75
        output    jtag_state_cir;
76
        output    jtag_state_e1dr;
77
        output    jtag_state_e1ir;
78
        output    jtag_state_e2dr;
79
        output    jtag_state_e2ir;
80
        output    jtag_state_pdr;
81
        output    jtag_state_pir;
82
        output    jtag_state_rti;
83
        output    jtag_state_sdr;
84
        output    jtag_state_sdrs;
85
        output    jtag_state_sir;
86
        output    jtag_state_sirs;
87
        output    jtag_state_tlr;
88
        output    jtag_state_udr;
89
        output    jtag_state_uir;
90
        output    tck;
91
        output    tdi;
92
        output    tms;
93
        output    virtual_state_cdr;
94
        output    virtual_state_cir;
95
        output    virtual_state_e1dr;
96
        output    virtual_state_e2dr;
97
        output    virtual_state_pdr;
98
        output    virtual_state_sdr;
99
        output    virtual_state_udr;
100
        output    virtual_state_uir;
101
 
102
        wire  sub_wire0;
103
        wire  sub_wire1;
104
        wire  sub_wire2;
105
        wire  sub_wire3;
106
        wire  sub_wire4;
107
        wire  sub_wire5;
108
        wire  sub_wire6;
109
        wire  sub_wire7;
110
        wire  sub_wire8;
111
        wire [3:0] sub_wire9;
112
        wire  sub_wire10;
113
        wire  sub_wire11;
114
        wire  sub_wire12;
115
        wire  sub_wire13;
116
        wire  sub_wire14;
117
        wire  sub_wire15;
118
        wire  sub_wire16;
119
        wire  sub_wire17;
120
        wire  sub_wire18;
121
        wire  sub_wire19;
122
        wire  sub_wire20;
123
        wire  sub_wire21;
124
        wire  sub_wire22;
125
        wire  sub_wire23;
126
        wire  sub_wire24;
127
        wire  sub_wire25;
128
        wire  sub_wire26;
129
        wire  sub_wire27;
130
        wire  tdi = sub_wire0;
131
        wire  jtag_state_rti = sub_wire1;
132
        wire  jtag_state_e1dr = sub_wire2;
133
        wire  jtag_state_e2dr = sub_wire3;
134
        wire  tms = sub_wire4;
135
        wire  jtag_state_pir = sub_wire5;
136
        wire  jtag_state_tlr = sub_wire6;
137
        wire  tck = sub_wire7;
138
        wire  jtag_state_sir = sub_wire8;
139
        wire [3:0] ir_in = sub_wire9[3:0];
140
        wire  virtual_state_cir = sub_wire10;
141
        wire  virtual_state_pdr = sub_wire11;
142
        wire  virtual_state_uir = sub_wire12;
143
        wire  jtag_state_cir = sub_wire13;
144
        wire  jtag_state_uir = sub_wire14;
145
        wire  jtag_state_pdr = sub_wire15;
146
        wire  jtag_state_sdrs = sub_wire16;
147
        wire  virtual_state_sdr = sub_wire17;
148
        wire  virtual_state_cdr = sub_wire18;
149
        wire  jtag_state_sdr = sub_wire19;
150
        wire  jtag_state_cdr = sub_wire20;
151
        wire  virtual_state_udr = sub_wire21;
152
        wire  jtag_state_udr = sub_wire22;
153
        wire  jtag_state_sirs = sub_wire23;
154
        wire  jtag_state_e1ir = sub_wire24;
155
        wire  jtag_state_e2ir = sub_wire25;
156
        wire  virtual_state_e1dr = sub_wire26;
157
        wire  virtual_state_e2dr = sub_wire27;
158
 
159
        sld_virtual_jtag        sld_virtual_jtag_component (
160
                                .ir_out (ir_out),
161
                                .tdo (tdo),
162
                                .tdi (sub_wire0),
163
                                .jtag_state_rti (sub_wire1),
164
                                .jtag_state_e1dr (sub_wire2),
165
                                .jtag_state_e2dr (sub_wire3),
166
                                .tms (sub_wire4),
167
                                .jtag_state_pir (sub_wire5),
168
                                .jtag_state_tlr (sub_wire6),
169
                                .tck (sub_wire7),
170
                                .jtag_state_sir (sub_wire8),
171
                                .ir_in (sub_wire9),
172
                                .virtual_state_cir (sub_wire10),
173
                                .virtual_state_pdr (sub_wire11),
174
                                .virtual_state_uir (sub_wire12),
175
                                .jtag_state_cir (sub_wire13),
176
                                .jtag_state_uir (sub_wire14),
177
                                .jtag_state_pdr (sub_wire15),
178
                                .jtag_state_sdrs (sub_wire16),
179
                                .virtual_state_sdr (sub_wire17),
180
                                .virtual_state_cdr (sub_wire18),
181
                                .jtag_state_sdr (sub_wire19),
182
                                .jtag_state_cdr (sub_wire20),
183
                                .virtual_state_udr (sub_wire21),
184
                                .jtag_state_udr (sub_wire22),
185
                                .jtag_state_sirs (sub_wire23),
186
                                .jtag_state_e1ir (sub_wire24),
187
                                .jtag_state_e2ir (sub_wire25),
188
                                .virtual_state_e1dr (sub_wire26),
189
                                .virtual_state_e2dr (sub_wire27));
190
        defparam
191
                sld_virtual_jtag_component.sld_auto_instance_index = "YES",
192
                sld_virtual_jtag_component.sld_instance_index = 0,
193
                sld_virtual_jtag_component.sld_ir_width = 4,
194
                sld_virtual_jtag_component.sld_sim_action = "",
195
                sld_virtual_jtag_component.sld_sim_n_scan = 0,
196
                sld_virtual_jtag_component.sld_sim_total_length = 0;
197
 
198
 
199
endmodule
200
 
201
// ============================================================
202
// CNX file retrieval info
203
// ============================================================
204
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
205
// Retrieval info: PRIVATE: show_jtag_state STRING "1"
206
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
207
// Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "YES"
208
// Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "0"
209
// Retrieval info: CONSTANT: SLD_IR_WIDTH NUMERIC "4"
210
// Retrieval info: CONSTANT: SLD_SIM_ACTION STRING ""
211
// Retrieval info: CONSTANT: SLD_SIM_N_SCAN NUMERIC "0"
212
// Retrieval info: CONSTANT: SLD_SIM_TOTAL_LENGTH NUMERIC "0"
213
// Retrieval info: USED_PORT: ir_in 0 0 4 0 OUTPUT NODEFVAL "ir_in[3..0]"
214
// Retrieval info: USED_PORT: ir_out 0 0 4 0 INPUT NODEFVAL "ir_out[3..0]"
215
// Retrieval info: USED_PORT: jtag_state_cdr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_cdr"
216
// Retrieval info: USED_PORT: jtag_state_cir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_cir"
217
// Retrieval info: USED_PORT: jtag_state_e1dr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_e1dr"
218
// Retrieval info: USED_PORT: jtag_state_e1ir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_e1ir"
219
// Retrieval info: USED_PORT: jtag_state_e2dr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_e2dr"
220
// Retrieval info: USED_PORT: jtag_state_e2ir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_e2ir"
221
// Retrieval info: USED_PORT: jtag_state_pdr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_pdr"
222
// Retrieval info: USED_PORT: jtag_state_pir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_pir"
223
// Retrieval info: USED_PORT: jtag_state_rti 0 0 0 0 OUTPUT NODEFVAL "jtag_state_rti"
224
// Retrieval info: USED_PORT: jtag_state_sdr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_sdr"
225
// Retrieval info: USED_PORT: jtag_state_sdrs 0 0 0 0 OUTPUT NODEFVAL "jtag_state_sdrs"
226
// Retrieval info: USED_PORT: jtag_state_sir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_sir"
227
// Retrieval info: USED_PORT: jtag_state_sirs 0 0 0 0 OUTPUT NODEFVAL "jtag_state_sirs"
228
// Retrieval info: USED_PORT: jtag_state_tlr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_tlr"
229
// Retrieval info: USED_PORT: jtag_state_udr 0 0 0 0 OUTPUT NODEFVAL "jtag_state_udr"
230
// Retrieval info: USED_PORT: jtag_state_uir 0 0 0 0 OUTPUT NODEFVAL "jtag_state_uir"
231
// Retrieval info: USED_PORT: tck 0 0 0 0 OUTPUT NODEFVAL "tck"
232
// Retrieval info: USED_PORT: tdi 0 0 0 0 OUTPUT NODEFVAL "tdi"
233
// Retrieval info: USED_PORT: tdo 0 0 0 0 INPUT NODEFVAL "tdo"
234
// Retrieval info: USED_PORT: tms 0 0 0 0 OUTPUT NODEFVAL "tms"
235
// Retrieval info: USED_PORT: virtual_state_cdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cdr"
236
// Retrieval info: USED_PORT: virtual_state_cir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cir"
237
// Retrieval info: USED_PORT: virtual_state_e1dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e1dr"
238
// Retrieval info: USED_PORT: virtual_state_e2dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e2dr"
239
// Retrieval info: USED_PORT: virtual_state_pdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_pdr"
240
// Retrieval info: USED_PORT: virtual_state_sdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_sdr"
241
// Retrieval info: USED_PORT: virtual_state_udr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_udr"
242
// Retrieval info: USED_PORT: virtual_state_uir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_uir"
243
// Retrieval info: CONNECT: jtag_state_cir 0 0 0 0 @jtag_state_cir 0 0 0 0
244
// Retrieval info: CONNECT: jtag_state_sdr 0 0 0 0 @jtag_state_sdr 0 0 0 0
245
// Retrieval info: CONNECT: virtual_state_uir 0 0 0 0 @virtual_state_uir 0 0 0 0
246
// Retrieval info: CONNECT: jtag_state_e1ir 0 0 0 0 @jtag_state_e1ir 0 0 0 0
247
// Retrieval info: CONNECT: jtag_state_e1dr 0 0 0 0 @jtag_state_e1dr 0 0 0 0
248
// Retrieval info: CONNECT: virtual_state_sdr 0 0 0 0 @virtual_state_sdr 0 0 0 0
249
// Retrieval info: CONNECT: @ir_out 0 0 4 0 ir_out 0 0 4 0
250
// Retrieval info: CONNECT: virtual_state_udr 0 0 0 0 @virtual_state_udr 0 0 0 0
251
// Retrieval info: CONNECT: jtag_state_pir 0 0 0 0 @jtag_state_pir 0 0 0 0
252
// Retrieval info: CONNECT: virtual_state_cir 0 0 0 0 @virtual_state_cir 0 0 0 0
253
// Retrieval info: CONNECT: jtag_state_udr 0 0 0 0 @jtag_state_udr 0 0 0 0
254
// Retrieval info: CONNECT: jtag_state_sdrs 0 0 0 0 @jtag_state_sdrs 0 0 0 0
255
// Retrieval info: CONNECT: ir_in 0 0 4 0 @ir_in 0 0 4 0
256
// Retrieval info: CONNECT: @tdo 0 0 0 0 tdo 0 0 0 0
257
// Retrieval info: CONNECT: tms 0 0 0 0 @tms 0 0 0 0
258
// Retrieval info: CONNECT: jtag_state_sir 0 0 0 0 @jtag_state_sir 0 0 0 0
259
// Retrieval info: CONNECT: jtag_state_sirs 0 0 0 0 @jtag_state_sirs 0 0 0 0
260
// Retrieval info: CONNECT: jtag_state_cdr 0 0 0 0 @jtag_state_cdr 0 0 0 0
261
// Retrieval info: CONNECT: jtag_state_rti 0 0 0 0 @jtag_state_rti 0 0 0 0
262
// Retrieval info: CONNECT: virtual_state_pdr 0 0 0 0 @virtual_state_pdr 0 0 0 0
263
// Retrieval info: CONNECT: virtual_state_cdr 0 0 0 0 @virtual_state_cdr 0 0 0 0
264
// Retrieval info: CONNECT: virtual_state_e2dr 0 0 0 0 @virtual_state_e2dr 0 0 0 0
265
// Retrieval info: CONNECT: virtual_state_e1dr 0 0 0 0 @virtual_state_e1dr 0 0 0 0
266
// Retrieval info: CONNECT: tck 0 0 0 0 @tck 0 0 0 0
267
// Retrieval info: CONNECT: tdi 0 0 0 0 @tdi 0 0 0 0
268
// Retrieval info: CONNECT: jtag_state_pdr 0 0 0 0 @jtag_state_pdr 0 0 0 0
269
// Retrieval info: CONNECT: jtag_state_uir 0 0 0 0 @jtag_state_uir 0 0 0 0
270
// Retrieval info: CONNECT: jtag_state_e2ir 0 0 0 0 @jtag_state_e2ir 0 0 0 0
271
// Retrieval info: CONNECT: jtag_state_e2dr 0 0 0 0 @jtag_state_e2dr 0 0 0 0
272
// Retrieval info: CONNECT: jtag_state_tlr 0 0 0 0 @jtag_state_tlr 0 0 0 0
273
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag.v TRUE
274
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag.inc FALSE
275
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag.cmp FALSE
276
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag.bsf FALSE
277
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag_inst.v TRUE
278
// Retrieval info: GEN_FILE: TYPE_NORMAL amf_sld_virtual_jtag_bb.v FALSE
279
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.