OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT1_D.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT1_D.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 2-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT1_D (LO, O, I0);
13
 
14
    parameter INIT = 2'h0;
15
 
16
    input I0;
17
 
18
    output LO, O;
19
 
20
    wire out;
21
 
22
    lut1_d_mux2 (out, INIT[1], INIT[0], I0);
23
 
24
    buf b1 (LO, out);
25
    buf b2 (O, out);
26
 
27
    specify
28
        (I0 *> LO) = (1, 1);
29
        (I0 *> O) = (1, 1);
30
    endspecify
31
 
32
endmodule
33
 
34
`endcelldefine
35
 
36
primitive lut1_d_mux2 (O, d1, d0, s0);
37
 
38
  output O;
39
  input d1, d0;
40
  input s0;
41
 
42
  table
43
 
44
    // d1  d0  s0 : O;
45
 
46
       ?   1   0  : 1;
47
       ?   0   0  : 0;
48
       1   ?   1  : 1;
49
 
50
 
51
       1   1   x  : 1;
52
 
53
  endtable
54
 
55
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.