OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [dc.v] - Blame information for rev 210

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 161 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all DC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 203 lampret
// Revision 1.4  2001/08/13 03:36:20  lampret
48
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
49
//
50 170 lampret
// Revision 1.3  2001/08/09 13:39:33  lampret
51
// Major clean-up.
52
//
53 168 lampret
// Revision 1.2  2001/07/22 03:31:53  lampret
54
// Fixed RAM's oen bug. Cache bypass under development.
55
//
56 166 lampret
// Revision 1.1  2001/07/20 00:46:03  lampret
57
// Development version of RTL. Libraries are missing.
58 161 lampret
//
59 166 lampret
//
60 161 lampret
 
61 203 lampret
// synopsys translate_off
62 168 lampret
`include "timescale.v"
63 203 lampret
// synopsys translate_on
64 168 lampret
`include "defines.v"
65 161 lampret
 
66 168 lampret
//
67 161 lampret
// Data cache
68 168 lampret
//
69 161 lampret
 
70 168 lampret
module dc(
71
        // Rst, clk and clock control
72
        clk, rst, clkdiv_by_2,
73
 
74
        // External i/f
75
        dcbiu_rdy, dcbiu_datain, dcbiu_dataout, dcbiu_addr, dcbiu_read, dcbiu_write, dcbiu_sel,
76
 
77 170 lampret
        // Internal i/f
78 203 lampret
        dc_en, dclsu_addr, dclsu_lsuop, dclsu_datain, dclsu_dataout, dclsu_stall, dclsu_unstall,
79 168 lampret
 
80 205 lampret
        // SPRs
81 209 lampret
        spr_cs, spr_write, spr_addr, spr_dat_i
82 161 lampret
);
83
 
84
parameter dw = `OPERAND_WIDTH;
85
 
86 168 lampret
//
87
// I/O
88
//
89 161 lampret
 
90 168 lampret
//
91
// Clock and reset
92
//
93
input                           clk;
94
input                           rst;
95
input                           clkdiv_by_2;
96 161 lampret
 
97 168 lampret
//
98
// External I/F
99
//
100
input                           dcbiu_rdy;
101
input   [dw-1:0]         dcbiu_datain;
102
output  [31:0]                   dcbiu_addr;
103
output                          dcbiu_read;
104
output                          dcbiu_write;
105
output  [3:0]                    dcbiu_sel;
106 161 lampret
 
107 168 lampret
//
108
// Internal I/F
109
//
110
input                           dc_en;
111
input   [31:0]                   dclsu_addr;
112
input   [`LSUOP_WIDTH-1:0]       dclsu_lsuop;
113
input   [dw-1:0]         dclsu_datain;
114
output  [dw-1:0]         dclsu_dataout;
115
output  [dw-1:0]         dcbiu_dataout;
116
output                          dclsu_stall;
117 203 lampret
output                          dclsu_unstall;
118 161 lampret
 
119 168 lampret
//
120 205 lampret
// SPR access
121
//
122
input                           spr_cs;
123
input                           spr_write;
124
input   [31:0]                   spr_addr;
125
input   [31:0]                   spr_dat_i;
126
 
127
//
128 168 lampret
// Internal wires and regs
129
//
130 205 lampret
wire                            tag_v;
131 168 lampret
wire    [18:0]                   tag;
132
wire    [dw-1:0]         to_dcram;
133
wire    [dw-1:0]         from_dcram;
134
wire    [dw-1:0]         to_mem2reg;
135
wire    [31:0]                   saved_addr;
136
wire                            refill;
137
wire    [3:0]                    dcram_we;
138
wire                            dctag_we;
139
wire    [dw-1:0]         lsu_datain_memaligned;
140
wire    [31:0]                   dc_addr;
141
wire                            refill_first;
142
wire                            refill_prepare;
143
wire                            refill_start;
144
wire                            refill_rest;
145
wire    [`LSUOP_WIDTH-1:0]       dcfsm_lsuop;
146
wire                            dcfsm_read;
147
wire                            dcfsm_write;
148
wire    [1:0]                    mem2reg_addr;
149
reg                             hit;
150
reg     [1:0]                    valid_div;
151
reg     [3:0]                    dcbiu_sel;
152
reg     [1:0]                    bypass_wait;
153
wire                            queue;
154
wire                            cntrbusy;
155
wire                            dcbiu_valid;
156 205 lampret
wire    [12:4]                  dctag_addr;
157
wire                            dctag_en;
158
wire                            dctag_v;
159
wire                            dc_inv;
160 168 lampret
 
161
//
162
// Simple assignments
163
//
164 161 lampret
assign dcbiu_addr = dc_addr;
165 203 lampret
assign dclsu_unstall = dcbiu_rdy;
166 205 lampret
assign dc_inv = spr_cs & spr_write;
167
assign dctag_we = refill | dc_inv;
168
assign dctag_addr = dc_inv ? spr_dat_i[12:4] : dc_addr[12:4];
169
assign dctag_en = dc_inv | dc_en;
170
assign dctag_v = ~dc_inv;
171 168 lampret
 
172
//
173
// Data to BIU is from DCRAM when DC is enabled or from LSU when
174
// DC is disabled
175
//
176 166 lampret
assign dcbiu_dataout = (dc_en) ? from_dcram : lsu_datain_memaligned;
177 161 lampret
 
178 168 lampret
//
179
// Bypases of the DC when DC is disabled
180
//
181 166 lampret
assign dcfsm_lsuop = (dc_en) ? dclsu_lsuop : `LSUOP_NOP;
182 209 lampret
assign dcbiu_read = (dc_en) ? dcfsm_read : ((|dclsu_lsuop) && ~dclsu_lsuop[3]);
183
assign dcbiu_write = (dc_en) ? dcfsm_write : ((|dclsu_lsuop) && dclsu_lsuop[3]);
184 166 lampret
always @(dc_en or dclsu_lsuop or dclsu_addr)
185
        casex({dc_en, dclsu_lsuop, dclsu_addr[1:0]})
186 209 lampret
                {1'b0, `LSUOP_SB, 2'b00} : dcbiu_sel = 4'b1000;
187
                {1'b0, `LSUOP_SB, 2'b01} : dcbiu_sel = 4'b0100;
188
                {1'b0, `LSUOP_SB, 2'b10} : dcbiu_sel = 4'b0010;
189
                {1'b0, `LSUOP_SB, 2'b11} : dcbiu_sel = 4'b0001;
190
                {1'b0, `LSUOP_SH, 2'b00} : dcbiu_sel = 4'b1100;
191
                {1'b0, `LSUOP_SH, 2'b10} : dcbiu_sel = 4'b0011;
192
                {1'b0, `LSUOP_SW, 2'b00} : dcbiu_sel = 4'b1111;
193
                {1'b0, `LSUOP_LBZ, 2'b00}, {1'b0, `LSUOP_LBS, 2'b00} : dcbiu_sel = 4'b1000;
194
                {1'b0, `LSUOP_LBZ, 2'b01}, {1'b0, `LSUOP_LBS, 2'b01} : dcbiu_sel = 4'b0100;
195
                {1'b0, `LSUOP_LBZ, 2'b10}, {1'b0, `LSUOP_LBS, 2'b10} : dcbiu_sel = 4'b0010;
196
                {1'b0, `LSUOP_LBZ, 2'b11}, {1'b0, `LSUOP_LBS, 2'b11} : dcbiu_sel = 4'b0001;
197
                {1'b0, `LSUOP_LHZ, 2'b00}, {1'b0, `LSUOP_LHS, 2'b00} : dcbiu_sel = 4'b1100;
198
                {1'b0, `LSUOP_LHZ, 2'b10}, {1'b0, `LSUOP_LHS, 2'b10} : dcbiu_sel = 4'b0011;
199
                {1'b0, `LSUOP_LWZ, 2'b00}, {1'b0, `LSUOP_LWS, 2'b00} : dcbiu_sel = 4'b1111;
200
                7'b1xxxxxx : dcbiu_sel = 4'b1111;
201
                default : dcbiu_sel = 4'b0000;
202 166 lampret
        endcase
203 161 lampret
 
204 166 lampret
assign mem2reg_addr = (dc_en) ? saved_addr[1:0] : dclsu_addr[1:0];
205
 
206 168 lampret
//
207 170 lampret
// Wait for DC bypass access
208 168 lampret
//
209 166 lampret
always @(posedge rst or posedge clk)
210
        if (rst)
211 209 lampret
                bypass_wait <= #1 2'b00;
212 166 lampret
        else if (dcbiu_valid)
213 209 lampret
                bypass_wait <= #1 2'b00;
214 166 lampret
        else if (dcbiu_read | dcbiu_write)
215 209 lampret
                bypass_wait <= #1 {bypass_wait[0], 1'b1};
216 166 lampret
        else
217
                bypass_wait <= #1 2'b00;
218
 
219 168 lampret
//
220
// Queue
221
//
222 209 lampret
assign queue = (refill && (|dcfsm_lsuop) && !refill_first && !refill_rest) ? 1'b1 : 1'b0;
223 166 lampret
 
224 168 lampret
//
225
// DC/LSU stall
226
//
227 203 lampret
//assign dclsu_stall = refill_start | (refill_first & ~dcbiu_valid)| refill_rest | queue | cntrbusy | (~dc_en & bypass_wait[1] & ~dcbiu_valid);
228
assign dclsu_stall = refill_start | (refill_first & ~dcbiu_valid)| refill_rest | queue | cntrbusy | (~dc_en & (dcbiu_read | dcbiu_write) & ~dcbiu_rdy);
229 161 lampret
 
230 168 lampret
//
231 161 lampret
// Select between claddr generated by DC FSM and addr[3:2] generated by LSU
232 168 lampret
//
233 161 lampret
assign dc_addr = (refill == 1'b1) ? saved_addr : dclsu_addr;
234
 
235 168 lampret
//
236 161 lampret
// Select between input data generated by LSU or by BIU
237 168 lampret
//
238 161 lampret
assign to_dcram = (refill == 1'b1) ? dcbiu_datain : lsu_datain_memaligned;
239
 
240 168 lampret
//
241 161 lampret
// Select between data generated by DCRAM or passed by BIU
242 168 lampret
//
243 166 lampret
assign to_mem2reg = (refill_first == 1'b1) | (~dc_en) ? dcbiu_datain : from_dcram;
244 161 lampret
 
245 168 lampret
//
246 161 lampret
// Tag comparison
247 168 lampret
//
248 161 lampret
always @(tag or saved_addr) begin
249 205 lampret
        if ((tag == saved_addr[31:13]) && tag_v)
250 209 lampret
                hit = 1'b1;
251 161 lampret
        else
252 209 lampret
                hit = 1'b0;
253 161 lampret
end
254
 
255 168 lampret
//
256 161 lampret
// Valid_div counts RISC clock cycles by modulo 4
257 168 lampret
//
258 161 lampret
always @(posedge clk or posedge rst)
259
        if (rst)
260
                valid_div <= #1 2'b0;
261
        else
262
                valid_div <= #1 valid_div + 'd1;
263
 
264 168 lampret
//
265 161 lampret
// dcbiu_valid is one RISC clock cycle long dcbiu_rdy.
266
// dcbiu_rdy is two or four RISC clock cycles long because memory
267
// controller works at 1/2 or 1/4 of RISC clock freq (at 1/2 if
268
// clkdiv_by_2 is asserted).
269 168 lampret
//
270 161 lampret
assign dcbiu_valid = dcbiu_rdy & (valid_div[1] | clkdiv_by_2) & valid_div[0];
271
 
272 168 lampret
//
273 161 lampret
// Generate refill_start that signals to frz_logic a cache linefill is about to begin
274 168 lampret
//
275 161 lampret
assign refill_start = (refill_prepare & ~hit) ? 1'b1 : 1'b0;
276
 
277 168 lampret
//
278
// Instantiation of DC Finite State Machine
279
//
280 161 lampret
dc_fsm dc_fsm(
281
        .clk(clk),
282
        .rst(rst),
283 166 lampret
        .lsu_op(dcfsm_lsuop),
284 161 lampret
        .miss(~hit),
285
        .biudata_valid(dcbiu_valid),
286
        .start_addr(dclsu_addr),
287
        .saved_addr(saved_addr),
288
        .refill(refill),
289
        .refill_first(refill_first),
290
        .refill_prepare(refill_prepare),
291
        .dcram_we(dcram_we),
292 166 lampret
        .biu_read(dcfsm_read),
293
        .biu_write(dcfsm_write),
294 161 lampret
        .refill_rest(refill_rest),
295
        .cntrbusy(cntrbusy)
296
);
297
 
298 168 lampret
//
299
// Instantiation of Regfile-to-memory aligner
300
//
301 161 lampret
reg2mem reg2mem(
302
        .addr(dc_addr[1:0]),
303
        .lsu_op(dclsu_lsuop),
304
        .regdata(dclsu_datain),
305
        .memdata(lsu_datain_memaligned)
306
);
307
 
308 168 lampret
//
309
// Instantiation of DC main memory
310
//
311 161 lampret
dc_ram dc_ram(
312
        .clk(clk),
313 168 lampret
        .rst(rst),
314 161 lampret
        .addr(dc_addr[12:2]),
315 203 lampret
        .en(dc_en),
316 161 lampret
        .we(dcram_we),
317
        .datain(to_dcram),
318 168 lampret
        .dataout(from_dcram)
319 161 lampret
);
320
 
321 168 lampret
//
322
// Instantiation of DC TAG memory
323
//
324 161 lampret
dc_tag dc_tag(
325
        .clk(clk),
326 168 lampret
        .rst(rst),
327 205 lampret
        .addr(dctag_addr),
328
        .en(dctag_en),
329 161 lampret
        .we(dctag_we),
330 205 lampret
        .datain({dc_addr[31:13], dctag_v}),
331
        .tag_v(tag_v),
332
        .tag(tag)
333 161 lampret
);
334
 
335 168 lampret
//
336
// Instatiation of Memory-to-regfile aligner
337
//
338 161 lampret
mem2reg mem2reg(
339 166 lampret
        .addr(mem2reg_addr[1:0]),
340 161 lampret
        .lsu_op(dclsu_lsuop),
341
        .memdata(to_mem2reg),
342
        .regdata(dclsu_dataout)
343
);
344
 
345
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.