OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [dc_ram.v] - Blame information for rev 168

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 161 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's DC RAMs                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instatiation of DC RAM blocks.                              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 168 lampret
// Revision 1.1  2001/07/20 00:46:03  lampret
48
// Development version of RTL. Libraries are missing.
49 161 lampret
//
50 168 lampret
//
51 161 lampret
 
52 168 lampret
`include "timescale.v"
53
`include "defines.v"
54 161 lampret
 
55 168 lampret
module dc_ram(
56
        // Reset and clock
57
        clk, rst,
58 161 lampret
 
59 168 lampret
        // Internal i/f
60
        addr, we, datain, dataout
61
);
62
 
63 161 lampret
parameter dw = `OPERAND_WIDTH;
64
parameter aw = 11;
65
 
66 168 lampret
//
67
// I/O
68
//
69
input                           clk;
70
input                           rst;
71
input   [aw-1:0]         addr;
72
input   [3:0]                    we;
73
input   [dw-1:0]         datain;
74
output  [dw-1:0]         dataout;
75 161 lampret
 
76 168 lampret
//
77
// Instantiation of RAM block 0
78
//
79
generic_spram_2048x8 dc_ram0(
80
        .clk(clk),
81
        .rst(rst),
82
        .ce(1'b1),
83
        .we(we[0]),
84
        .oe(1'b1),
85
        .addr(addr),
86
        .di(datain[7:0]),
87
        .do(dataout[7:0])
88 161 lampret
);
89
 
90 168 lampret
//
91
// Instantiation of RAM block 1
92
//
93
generic_spram_2048x8 dc_ram1(
94
        .clk(clk),
95
        .rst(rst),
96
        .ce(1'b1),
97
        .we(we[1]),
98
        .oe(1'b1),
99
        .addr(addr),
100
        .di(datain[15:8]),
101
        .do(dataout[15:8])
102 161 lampret
);
103
 
104 168 lampret
//
105
// Instantiation of RAM block 2
106
//
107
generic_spram_2048x8 dc_ram2(
108
        .clk(clk),
109
        .rst(rst),
110
        .ce(1'b1),
111
        .we(we[2]),
112
        .oe(1'b1),
113
        .addr(addr),
114
        .di(datain[23:16]),
115
        .do(dataout[23:16])
116 161 lampret
);
117
 
118 168 lampret
//
119
// Instantiation of RAM block 3
120
//
121
generic_spram_2048x8 dc_ram3(
122
        .clk(clk),
123
        .rst(rst),
124
        .ce(1'b1),
125
        .we(we[3]),
126
        .oe(1'b1),
127
        .addr(addr),
128
        .di(datain[31:24]),
129
        .do(dataout[31:24])
130 161 lampret
);
131
 
132
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.