OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [frz_logic.v] - Blame information for rev 1778

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 218 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 217 lampret
// $Log: not supported by cvs2svn $
47 218 lampret
// Revision 1.8  2001/10/19 23:28:46  lampret
48
// Fixed some synthesis warnings. Configured with caches and MMUs.
49
//
50 217 lampret
// Revision 1.7  2001/10/14 13:12:09  lampret
51
// MP3 version.
52 218 lampret
//
53
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
54
// no message
55
//
56
// Revision 1.2  2001/08/09 13:39:33  lampret
57
// Major clean-up.
58
//
59
// Revision 1.1  2001/07/20 00:46:03  lampret
60
// Development version of RTL. Libraries are missing.
61
//
62
//
63
 
64
// synopsys translate_off
65
`include "timescale.v"
66
// synopsys translate_on
67
`include "defines.v"
68
 
69
`define NO_FREEZE       3'd0
70
`define FREEZE_BYDC     3'd1
71
`define FREEZE_BYMULTICYCLE     3'd2
72
`define WAIT_LSU_TO_FINISH      3'd3
73
`define WAIT_IC                 3'd4
74
 
75
//
76
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
77
//
78
module frz_logic(
79
        // Clock and reset
80
        clk, rst,
81
 
82
        // Internal i/f
83
        multicycle, except_flushpipe, lsu_stall, if_stall,
84
        dclsu_unstall, branch_stall, du_stall, mac_stall,
85
        force_dslot_fetch,
86
        if_freeze, id_freeze, ex_freeze, wb_freeze
87
);
88
 
89
//
90
// I/O
91
//
92
input                           clk;
93
input                           rst;
94
input   [`MULTICYCLE_WIDTH-1:0]  multicycle;
95
input                           except_flushpipe;
96
input                           lsu_stall;
97
input                           if_stall;
98
input                           dclsu_unstall;
99
input                           branch_stall;
100
input                           force_dslot_fetch;
101
input                           du_stall;
102
input                           mac_stall;
103
output                          if_freeze;
104
output                          id_freeze;
105
output                          ex_freeze;
106
output                          wb_freeze;
107
 
108
//
109
// Internal wires and regs
110
//
111
reg                             multicycle_freeze;
112
reg     [2:0]                    state2;
113
reg     [2:0]                    multicycle_cnt;
114
reg                             done_once;
115
 
116
//
117
// Pipeline freeze
118
//
119
// Rules how to create freeze signals:
120
// 1. Not overwriting pipeline stages:
121
// Frreze signals at the beginning of pipeline (such as if_freeze) can be asserted more
122
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
123
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
124
//
125
// 2. Inserting NOPs in the middle of pipeline only if supported:
126
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
127
// This way NOP is asserted from stage ID into EX stage.
128
//
129
assign if_freeze = id_freeze;
130
assign id_freeze = (lsu_stall | (~dclsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~except_flushpipe | du_stall;
131
assign ex_freeze = wb_freeze;
132
assign wb_freeze = (lsu_stall | (~dclsu_unstall & if_stall) | multicycle_freeze) & ~except_flushpipe | du_stall | mac_stall;
133
 
134
//
135
// Freeze FSM2
136
//
137
always @(posedge clk or posedge rst) begin
138
        if (rst) begin
139
                state2 <= #1 `NO_FREEZE;
140
                multicycle_freeze <= #1 1'b1;
141
                multicycle_cnt <= #1 3'b0;
142
                done_once <= #1 1'b0;
143
        end
144
        else
145
                case (state2)   // synopsys parallel_case
146
                `NO_FREEZE :
147
                        if (done_once && ex_freeze)
148
                                done_once <= #1 1'b1;
149
                        else if (multicycle) begin
150
                                state2 <= #1 `FREEZE_BYMULTICYCLE;
151
                                multicycle_freeze <= #1 1'b1;
152
                                multicycle_cnt <= #1 multicycle - 'd1;
153
                                done_once <= #1 1'b0;
154
                        end
155
                        else
156
                                multicycle_freeze <= #1 1'b0;
157
                `FREEZE_BYMULTICYCLE :
158
                        if (multicycle_cnt) begin
159
                                multicycle_cnt <= #1 multicycle_cnt - 'd1;
160
                                state2 <= #1 `FREEZE_BYMULTICYCLE;
161
                        end
162
                        else if (lsu_stall) begin
163
                                state2 <= #1 `WAIT_LSU_TO_FINISH;
164
                                multicycle_freeze <= #1 1'b0;
165
                        end
166
                        else if (if_stall) begin
167
                                state2 <= #1 `NO_FREEZE;
168
                                done_once <= #1 1'b1;
169
                                multicycle_freeze <= #1 1'b0;
170
                        end
171
                        else begin
172
                                state2 <= #1 `NO_FREEZE;
173
                                multicycle_freeze <= #1 1'b0;
174
                        end
175
                `WAIT_LSU_TO_FINISH:
176
                        if (!lsu_stall && !(|multicycle)) begin
177
                                state2 <= #1 `NO_FREEZE;
178
                        end
179
                        else if (!lsu_stall & (|multicycle)) begin
180
                                state2 <= #1 `FREEZE_BYMULTICYCLE;
181
                                multicycle_freeze <= #1 1'b1;
182
                                multicycle_cnt <= #1 multicycle - 'd1;
183
                        end
184
        endcase
185
end
186
 
187
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.