OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [ic_ram.v] - Blame information for rev 161

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 161 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's IC RAMs                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of Instruction cache data rams                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
//
48
 
49
`include "general.h"
50
 
51
//`define XCV_IC
52
 
53
module ic_ram(clk, addr, we, datain, dataout, tp4w, tpdw);
54
 
55
parameter dw = `OPERAND_WIDTH;
56
parameter aw = `ICINDX;
57
 
58
input [dw-1:0] datain;
59
output [dw-1:0] dataout;
60
 
61
input [aw-1:0] addr;
62
input [3:0] we;
63
 
64
input clk;
65
 
66
input [`TP4W_WIDTH-1:0] tp4w;
67
input [31:0] tpdw;
68
 
69
`ifdef XCV_IC
70
xcv_2048x16 ic_ram0 (
71
        .DO(dataout[15:0]),
72
        .ADDR(addr),
73
        .DI(datain[15:0]),
74
        .EN(1'b1),
75
        .CLK(clk),
76
        .WE(we[0]),
77
        .RST(1'b0)
78
);
79
 
80
xcv_2048x16 ic_ram1 (
81
        .DO(dataout[31:16]),
82
        .ADDR(addr),
83
        .DI(datain[31:16]),
84
        .EN(1'b1),
85
        .CLK(clk),
86
        .WE(we[0]),
87
        .RST(1'b0)
88
);
89
 
90
`else
91
art_hdsp_2048x32 ic_ram0(
92
        .q(dataout),
93
        .clk(clk),
94
        .cen(1'b0),
95
        .wen(~we[0]),
96
        .a(addr),
97
        .d(datain)
98
);
99
`endif
100
 
101
endmodule
102
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.