OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [operandmuxes.v] - Blame information for rev 215

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 215 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file read operands mux                    ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Mux for two register file read operands.                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
48
// no message
49
//
50
// Revision 1.2  2001/08/09 13:39:33  lampret
51
// Major clean-up.
52
//
53
// Revision 1.1  2001/07/20 00:46:05  lampret
54
// Development version of RTL. Libraries are missing.
55
//
56
//
57
 
58
// synopsys translate_off
59
`include "timescale.v"
60
// synopsys translate_on
61
`include "defines.v"
62
 
63
module operandmuxes(
64
        // Clock and reset
65
        clk, rst,
66
 
67
        // Internal i/f
68
        ex_freeze, rf_dataa, rf_datab, ex_forw, wb_forw,
69
        simm, sel_a, sel_b, operand_a, operand_b, muxed_b
70
);
71
 
72
parameter width = `OPERAND_WIDTH;
73
 
74
//
75
// I/O
76
//
77
input                           clk;
78
input                           rst;
79
input                           ex_freeze;
80
input   [width-1:0]              rf_dataa;
81
input   [width-1:0]              rf_datab;
82
input   [width-1:0]              ex_forw;
83
input   [width-1:0]              wb_forw;
84
input   [width-1:0]              simm;
85
input   [`SEL_WIDTH-1:0] sel_a;
86
input   [`SEL_WIDTH-1:0] sel_b;
87
output  [width-1:0]              operand_a;
88
output  [width-1:0]              operand_b;
89
output  [width-1:0]              muxed_b;
90
 
91
//
92
// Internal wires and regs
93
//
94
reg     [width-1:0]              operand_a;
95
reg     [width-1:0]              operand_b;
96
reg     [width-1:0]              muxed_a;
97
reg     [width-1:0]              muxed_b;
98
 
99
//
100
// Operand A register
101
//
102
always @(posedge clk or posedge rst) begin
103
        if (rst)
104
                operand_a <= #1 32'd0;
105
        else if (!ex_freeze)
106
                operand_a <= #1 muxed_a;
107
end
108
 
109
//
110
// Operand B register
111
//
112
always @(posedge clk or posedge rst) begin
113
        if (rst)
114
                operand_b <= #1 32'd0;
115
        else if (!ex_freeze)
116
                operand_b <= #1 muxed_b;
117
end
118
 
119
//
120
// Forwarding logic for operand A register
121
//
122
always @(ex_forw or wb_forw or rf_dataa or sel_a) begin
123
        casex (sel_a)   // synopsys full_case parallel_case infer_mux
124
                `SEL_EX_FORW:
125
                        muxed_a = ex_forw;
126
                `SEL_WB_FORW:
127
                        muxed_a = wb_forw;
128
                default:
129
                        muxed_a = rf_dataa;
130
        endcase
131
end
132
 
133
//
134
// Forwarding logic for operand B register
135
//
136
always @(simm or ex_forw or wb_forw or rf_datab or sel_b) begin
137
        casex (sel_b)   // synopsys full_case parallel_case infer_mux
138
                `SEL_IMM:
139
                        muxed_b = simm;
140
                `SEL_EX_FORW:
141
                        muxed_b = ex_forw;
142
                `SEL_WB_FORW:
143
                        muxed_b = wb_forw;
144
                default:
145
                        muxed_b = rf_datab;
146
        endcase
147
end
148
 
149
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.