OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [operandmuxes.v] - Blame information for rev 218

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 218 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file read operands mux                    ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Mux for two register file read operands.                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7  2001/10/14 13:12:09  lampret
48
// MP3 version.
49
//
50
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
51
// no message
52
//
53
// Revision 1.2  2001/08/09 13:39:33  lampret
54
// Major clean-up.
55
//
56
// Revision 1.1  2001/07/20 00:46:05  lampret
57
// Development version of RTL. Libraries are missing.
58
//
59
//
60
 
61
// synopsys translate_off
62
`include "timescale.v"
63
// synopsys translate_on
64
`include "defines.v"
65
 
66
module operandmuxes(
67
        // Clock and reset
68
        clk, rst,
69
 
70
        // Internal i/f
71
        ex_freeze, rf_dataa, rf_datab, ex_forw, wb_forw,
72
        simm, sel_a, sel_b, operand_a, operand_b, muxed_b
73
);
74
 
75
parameter width = `OPERAND_WIDTH;
76
 
77
//
78
// I/O
79
//
80
input                           clk;
81
input                           rst;
82
input                           ex_freeze;
83
input   [width-1:0]              rf_dataa;
84
input   [width-1:0]              rf_datab;
85
input   [width-1:0]              ex_forw;
86
input   [width-1:0]              wb_forw;
87
input   [width-1:0]              simm;
88
input   [`SEL_WIDTH-1:0] sel_a;
89
input   [`SEL_WIDTH-1:0] sel_b;
90
output  [width-1:0]              operand_a;
91
output  [width-1:0]              operand_b;
92
output  [width-1:0]              muxed_b;
93
 
94
//
95
// Internal wires and regs
96
//
97
reg     [width-1:0]              operand_a;
98
reg     [width-1:0]              operand_b;
99
reg     [width-1:0]              muxed_a;
100
reg     [width-1:0]              muxed_b;
101
 
102
//
103
// Operand A register
104
//
105
always @(posedge clk or posedge rst) begin
106
        if (rst)
107
                operand_a <= #1 32'd0;
108
        else if (!ex_freeze)
109
                operand_a <= #1 muxed_a;
110
end
111
 
112
//
113
// Operand B register
114
//
115
always @(posedge clk or posedge rst) begin
116
        if (rst)
117
                operand_b <= #1 32'd0;
118
        else if (!ex_freeze)
119
                operand_b <= #1 muxed_b;
120
end
121
 
122
//
123
// Forwarding logic for operand A register
124
//
125
always @(ex_forw or wb_forw or rf_dataa or sel_a) begin
126
        casex (sel_a)   // synopsys full_case parallel_case infer_mux
127
                `SEL_EX_FORW:
128
                        muxed_a = ex_forw;
129
                `SEL_WB_FORW:
130
                        muxed_a = wb_forw;
131
                default:
132
                        muxed_a = rf_dataa;
133
        endcase
134
end
135
 
136
//
137
// Forwarding logic for operand B register
138
//
139
always @(simm or ex_forw or wb_forw or rf_datab or sel_b) begin
140
        casex (sel_b)   // synopsys full_case parallel_case infer_mux
141
                `SEL_IMM:
142
                        muxed_b = simm;
143
                `SEL_EX_FORW:
144
                        muxed_b = ex_forw;
145
                `SEL_WB_FORW:
146
                        muxed_b = wb_forw;
147
                default:
148
                        muxed_b = rf_datab;
149
        endcase
150
end
151
 
152
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.