OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [mp3_stable/] [or1200/] [rtl/] [verilog/] [wbmux.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 218 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Write-back Mux                                     ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  CPU's write-back stage of the pipeline                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7  2001/10/14 13:12:10  lampret
48
// MP3 version.
49
//
50
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
51
// no message
52
//
53
// Revision 1.2  2001/08/09 13:39:33  lampret
54
// Major clean-up.
55
//
56
// Revision 1.1  2001/07/20 00:46:23  lampret
57
// Development version of RTL. Libraries are missing.
58
//
59
//
60
 
61
// synopsys translate_off
62
`include "timescale.v"
63
// synopsys translate_on
64
`include "defines.v"
65
 
66
module wbmux(
67
        // Clock and reset
68
        clk, rst,
69
 
70
        // Internal i/f
71
        wb_freeze, rfwb_op,
72
        muxin_a, muxin_b, muxin_c, muxin_d,
73
        muxout, muxreg, muxreg_valid
74
);
75
 
76
parameter width = `OPERAND_WIDTH;
77
 
78
//
79
// I/O
80
//
81
 
82
//
83
// Clock and reset
84
//
85
input                           clk;
86
input                           rst;
87
 
88
//
89
// Internal i/f
90
//
91
input                           wb_freeze;
92
input   [`RFWBOP_WIDTH-1:0]      rfwb_op;
93
input   [width-1:0]              muxin_a;
94
input   [width-1:0]              muxin_b;
95
input   [width-1:0]              muxin_c;
96
input   [width-1:0]              muxin_d;
97
output  [width-1:0]              muxout;
98
output  [width-1:0]              muxreg;
99
output                          muxreg_valid;
100
 
101
//
102
// Internal wires and regs
103
//
104
reg     [width-1:0]              muxout;
105
reg     [width-1:0]              muxreg;
106
reg                             muxreg_valid;
107
 
108
//
109
// Registered output from the write-back multiplexer
110
//
111
always @(posedge clk or posedge rst) begin
112
        if (rst) begin
113
                muxreg <= #1 32'd0;
114
                muxreg_valid <= #1 1'b0;
115
        end
116
        else if (!wb_freeze) begin
117
                muxreg <= #1 muxout;
118
                muxreg_valid <= #1 rfwb_op[0];
119
        end
120
end
121
 
122
//
123
// Write-back multiplexer
124
//
125
always @(muxin_a or muxin_b or muxin_c or muxin_d or rfwb_op) begin
126
        case(rfwb_op[`RFWBOP_WIDTH-1:1]) // synopsys full_case parallel_case infer_mux
127
                2'b00: muxout = muxin_a;
128
                2'b01: begin
129
                        muxout = muxin_b;
130
`ifdef OR1200_VERBOSE
131
// synopsys translate_off
132
                        $display("  WBMUX: muxin_b %h", muxin_b);
133
// synopsys translate_on
134
`endif
135
                end
136
                2'b10: begin
137
                        muxout = muxin_c;
138
`ifdef OR1200_VERBOSE
139
// synopsys translate_off
140
                        $display("  WBMUX: muxin_c %h", muxin_c);
141
// synopsys translate_on
142
`endif
143
                end
144
                2'b11: begin
145
                        muxout = muxin_d + 4'h8;
146
`ifdef OR1200_VERBOSE
147
// synopsys translate_off
148
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
149
// synopsys translate_on
150
`endif
151
                end
152
        endcase
153
end
154
 
155
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.