OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [alpha/] [newlib/] [libgloss/] [mips/] [lsi.ld] - Blame information for rev 1778

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 lampret
/* The following TEXT start address leaves space for the monitor
2
   workspace. */
3
 
4
ENTRY(_start)
5
OUTPUT_ARCH("mips:4000")
6
OUTPUT_FORMAT("elf32-bigmips", "elf32-bigmips", "elf32-littlemips")
7
GROUP(-lc -llsi -lgcc)
8
SEARCH_DIR(.)
9
__DYNAMIC  =  0;
10
 
11
/*
12
 * Allocate the stack to be at the top of memory, since the stack
13
 * grows down
14
 */
15
PROVIDE (__stack = 0);
16
/* PROVIDE (__global = 0); */
17
 
18
/*
19
 * Initalize some symbols to be zero so we can reference them in the
20
 * crt0 without core dumping. These functions are all optional, but
21
 * we do this so we can have our crt0 always use them if they exist.
22
 * This is so BSPs work better when using the crt0 installed with gcc.
23
 * We have to initalize them twice, so we multiple object file
24
 * formats, as some prepend an underscore.
25
 */
26
PROVIDE (hardware_init_hook = 0);
27
PROVIDE (software_init_hook = 0);
28
 
29
SECTIONS
30
{
31
  . = 0xA0020000;
32
  .text : {
33
     _ftext = . ;
34
    *(.init)
35
     eprol  =  .;
36
    *(.text)
37
    PROVIDE (__runtime_reloc_start = .);
38
    *(.rel.sdata)
39
    PROVIDE (__runtime_reloc_stop = .);
40
    *(.fini)
41
     etext  =  .;
42
     _etext  =  .;
43
  }
44
  . = .;
45
  .rdata : {
46
    *(.rdata)
47
  }
48
   _fdata = ALIGN(16);
49
  .data : {
50
    *(.data)
51
    CONSTRUCTORS
52
  }
53
  . = ALIGN(8);
54
  _gp = . + 0x8000;
55
  __global = _gp;
56
  .lit8 : {
57
    *(.lit8)
58
  }
59
  .lit4 : {
60
    *(.lit4)
61
  }
62
  .sdata : {
63
    *(.sdata)
64
  }
65
   edata  =  .;
66
   _edata  =  .;
67
   _fbss = .;
68
  .sbss : {
69
    *(.sbss)
70
    *(.scommon)
71
  }
72
  .bss : {
73
    _bss_start = . ;
74
    *(.bss)
75
    *(COMMON)
76
  }
77
   end = .;
78
   _end = .;
79
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.