OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [arelease/] [rc203soc/] [rtl/] [verilog/] [dbg_interface/] [sim/] [rtl_sim/] [run/] [wave.do] - Blame information for rev 1327

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1327 jcastillo
// Signalscan Version 6.7p1
2
 
3
 
4
define noactivityindicator
5
define analog waveform lines
6
define add variable default overlay off
7
define waveform window analogheight 1
8
define terminal automatic
9
define buttons control \
10
  1 opensimmulationfile \
11
  2 executedofile \
12
  3 designbrowser \
13
  4 waveform \
14
  5 source \
15
  6 breakpoints \
16
  7 definesourcessearchpath \
17
  8 exit \
18
  9 createbreakpoint \
19
  10 creategroup \
20
  11 createmarker \
21
  12 closesimmulationfile \
22
  13 renamesimmulationfile \
23
  14 replacesimulationfiledata \
24
  15 listopensimmulationfiles \
25
  16 savedofile
26
define buttons waveform \
27
  1 undo \
28
  2 cut \
29
  3 copy \
30
  4 paste \
31
  5 delete \
32
  6 zoomin \
33
  7 zoomout \
34
  8 zoomoutfull \
35
  9 expand \
36
  10 createmarker \
37
  11 designbrowser:1 \
38
  12 variableradixbinary \
39
  13 variableradixoctal \
40
  14 variableradixdecimal \
41
  15 variableradixhexadecimal \
42
  16 variableradixascii
43
define buttons designbrowser \
44
  1 undo \
45
  2 cut \
46
  3 copy \
47
  4 paste \
48
  5 delete \
49
  6 cdupscope \
50
  7 getallvariables \
51
  8 getdeepallvariables \
52
  9 addvariables \
53
  10 addvarsandclosewindow \
54
  11 closewindow \
55
  12 scopefiltermodule \
56
  13 scopefiltertask \
57
  14 scopefilterfunction \
58
  15 scopefilterblock \
59
  16 scopefilterprimitive
60
define buttons event \
61
  1 undo \
62
  2 cut \
63
  3 copy \
64
  4 paste \
65
  5 delete \
66
  6 move \
67
  7 closewindow \
68
  8 duplicate \
69
  9 defineasrisingedge \
70
  10 defineasfallingedge \
71
  11 defineasanyedge \
72
  12 variableradixbinary \
73
  13 variableradixoctal \
74
  14 variableradixdecimal \
75
  15 variableradixhexadecimal \
76
  16 variableradixascii
77
define buttons source \
78
  1 undo \
79
  2 cut \
80
  3 copy \
81
  4 paste \
82
  5 delete \
83
  6 createbreakpoint \
84
  7 creategroup \
85
  8 createmarker \
86
  9 createevent \
87
  10 createregisterpage \
88
  11 closewindow \
89
  12 opensimmulationfile \
90
  13 closesimmulationfile \
91
  14 renamesimmulationfile \
92
  15 replacesimulationfiledata \
93
  16 listopensimmulationfiles
94
define buttons register \
95
  1 undo \
96
  2 cut \
97
  3 copy \
98
  4 paste \
99
  5 delete \
100
  6 createregisterpage \
101
  7 closewindow \
102
  8 continuefor \
103
  9 continueuntil \
104
  10 continueforever \
105
  11 stop \
106
  12 previous \
107
  13 next \
108
  14 variableradixbinary \
109
  15 variableradixhexadecimal \
110
  16 variableradixascii
111
define show related transactions
112
define exit prompt
113
define event search direction forward
114
define variable nofullhierarchy
115
define variable nofilenames
116
define variable nofullpathfilenames
117
include bookmark with filenames
118
include scope history without filenames
119
define waveform window listpane 5.97
120
define waveform window namepane 14.99
121
define multivalueindication
122
define pattern curpos dot
123
define pattern cursor1 dot
124
define pattern cursor2 dot
125
define pattern marker dot
126
define print designer "Igor Mohor"
127
define print border
128
define print color blackonwhite
129
define print command "/usr/ucb/lpr -P%P"
130
define print printer  lp
131
define print range visible
132
define print variable visible
133
define rise fall time low threshold percentage 10
134
define rise fall time high threshold percentage 90
135
define rise fall time low value 0
136
define rise fall time high value 3.3
137
define sendmail command "/usr/lib/sendmail"
138
define sequence time width 30.00
139
define snap
140
 
141
define source noprompt
142
define time units default
143
define userdefinedbussymbol
144
define user guide directory "/usr/local/designacc/signalscan-6.7p1/doc/html"
145
define waveform window grid off
146
define waveform window waveheight 14
147
define waveform window wavespace 6
148
define web browser command netscape
149
define zoom outfull on initial add off
150
add group \
151
    tap_top \
152
      dbg_tb.i_tap_top.tck_pad_i \
153
      dbg_tb.i_tap_top.tms_pad_i \
154
      dbg_tb.i_tap_top.tdi_pad_i \
155
      dbg_tb.i_tap_top.tms_reset \
156
      dbg_tb.i_tap_top.tdo_pad_o \
157
      dbg_tb.i_tap_top.tdo_padoe_o \
158
      dbg_tb.i_tap_top.idcode_tdo \
159
      dbg_tb.i_tap_top.test_logic_reset \
160
      dbg_tb.i_tap_top.run_test_idle \
161
      dbg_tb.i_tap_top.select_dr_scan \
162
      dbg_tb.i_tap_top.capture_dr \
163
      dbg_tb.i_tap_top.tck_pad_i \
164
      dbg_tb.i_tap_top.tms_pad_i \
165
      dbg_tb.i_tap_top.tdi_pad_i \
166
      dbg_tb.i_tap_top.tms_reset \
167
      dbg_tb.i_tap_top.tdo_pad_o \
168
      dbg_tb.i_tap_top.tdo_padoe_o \
169
      dbg_tb.i_tap_top.idcode_tdo \
170
      dbg_tb.i_tap_top.test_logic_reset \
171
      dbg_tb.i_tap_top.run_test_idle \
172
      dbg_tb.i_tap_top.select_dr_scan \
173
      dbg_tb.i_tap_top.capture_dr \
174
      dbg_tb.i_tap_top.shift_dr \
175
      dbg_tb.i_tap_top.exit1_dr \
176
      dbg_tb.i_tap_top.pause_dr \
177
      dbg_tb.i_tap_top.exit2_dr \
178
      dbg_tb.i_tap_top.update_dr \
179
      dbg_tb.i_tap_top.select_ir_scan \
180
      dbg_tb.i_tap_top.capture_ir \
181
      dbg_tb.i_tap_top.shift_ir \
182
      dbg_tb.i_tap_top.exit1_ir \
183
      dbg_tb.i_tap_top.pause_ir \
184
      dbg_tb.i_tap_top.exit2_ir \
185
      dbg_tb.i_tap_top.update_ir \
186
      dbg_tb.i_tap_top.bypass_reg \
187
      dbg_tb.i_tap_top.bypass_select \
188
      dbg_tb.i_tap_top.bypassed_tdo \
189
      dbg_tb.i_tap_top.debug_select \
190
      dbg_tb.i_tap_top.extest_select \
191
      dbg_tb.i_tap_top.idcode_reg[31:0]'h \
192
      dbg_tb.i_tap_top.idcode_select \
193
      dbg_tb.i_tap_top.idcode_tdo \
194
      dbg_tb.i_tap_top.instruction_tdo \
195
      dbg_tb.i_tap_top.jtag_ir[3:0]'h \
196
      dbg_tb.i_tap_top.latched_jtag_ir[3:0]'h \
197
      dbg_tb.i_tap_top.mbist_select \
198
      dbg_tb.i_tap_top.sample_preload_select \
199
      dbg_tb.i_tap_top.trst_pad_i \
200
      dbg_tb.i_tap_top.tck_pad_i \
201
      dbg_tb.i_tap_top.shift_dr \
202
      dbg_tb.i_tap_top.exit1_dr \
203
      dbg_tb.i_tap_top.pause_dr \
204
      dbg_tb.i_tap_top.exit2_dr \
205
      dbg_tb.i_tap_top.update_dr \
206
      dbg_tb.i_tap_top.select_ir_scan \
207
      dbg_tb.i_tap_top.capture_ir \
208
      dbg_tb.i_tap_top.shift_ir \
209
      dbg_tb.i_tap_top.exit1_ir \
210
      dbg_tb.i_tap_top.pause_ir \
211
      dbg_tb.i_tap_top.exit2_ir \
212
      dbg_tb.i_tap_top.update_ir \
213
      dbg_tb.i_tap_top.bypass_reg \
214
      dbg_tb.i_tap_top.bypass_select \
215
      dbg_tb.i_tap_top.bypassed_tdo \
216
      dbg_tb.i_tap_top.debug_select \
217
      dbg_tb.i_tap_top.extest_select \
218
      dbg_tb.i_tap_top.idcode_reg[31:0]'h \
219
      dbg_tb.i_tap_top.idcode_select \
220
      dbg_tb.i_tap_top.idcode_tdo \
221
      dbg_tb.i_tap_top.instruction_tdo \
222
      dbg_tb.i_tap_top.jtag_ir[3:0]'h \
223
      dbg_tb.i_tap_top.latched_jtag_ir[3:0]'h \
224
      dbg_tb.i_tap_top.mbist_select \
225
      dbg_tb.i_tap_top.sample_preload_select \
226
      dbg_tb.i_tap_top.trst_pad_i \
227
      dbg_tb.i_tap_top.tck_pad_i \
228
 
229
add group \
230
    dbg_top \
231
      dbg_tb.test_text[199:0]'a \
232
      dbg_tb.i_dbg_top.crc_cnt_end \
233
      dbg_tb.i_dbg_top.crc_cnt_end_q \
234
      dbg_tb.i_dbg_top.data_cnt[2:0]'h \
235
      dbg_tb.i_dbg_top.data_cnt_end \
236
      dbg_tb.i_dbg_top.crc_cnt[5:0]'h \
237
      dbg_tb.i_dbg_top.crc_cnt_end \
238
      dbg_tb.i_dbg_top.crc_match \
239
      dbg_tb.i_dbg_top.debug_select_i \
240
      dbg_tb.i_dbg_top.module_select \
241
      dbg_tb.i_dbg_top.cpu_debug_module \
242
      dbg_tb.i_dbg_top.shift_dr_i \
243
      dbg_tb.i_dbg_top.status_cnt[2:0]'h \
244
      dbg_tb.i_dbg_top.status_cnt_end \
245
      dbg_tb.i_dbg_top.tck_i \
246
      dbg_tb.i_dbg_top.tdi_i \
247
      dbg_tb.i_dbg_top.tdo_o \
248
      dbg_tb.i_dbg_top.tdo_module_select \
249
      dbg_tb.i_dbg_top.update_dr_i \
250
      dbg_tb.i_dbg_top.crc_en \
251
      dbg_tb.i_dbg_top.crc_en_dbg \
252
      dbg_tb.i_dbg_top.crc_en_wb \
253
      dbg_tb.status[3:0]'h \
254
      dbg_tb.crc_match_in \
255
      dbg_tb.test_text[199:0]'a \
256
      dbg_tb.i_dbg_top.crc_cnt_end \
257
      dbg_tb.i_dbg_top.crc_cnt_end_q \
258
      dbg_tb.i_dbg_top.data_cnt[2:0]'h \
259
      dbg_tb.i_dbg_top.data_cnt_end \
260
      dbg_tb.i_dbg_top.crc_cnt[5:0]'h \
261
      dbg_tb.i_dbg_top.crc_cnt_end \
262
      dbg_tb.i_dbg_top.crc_match \
263
      dbg_tb.i_dbg_top.debug_select_i \
264
      dbg_tb.i_dbg_top.module_select \
265
      dbg_tb.i_dbg_top.cpu_debug_module \
266
      dbg_tb.i_dbg_top.shift_dr_i \
267
      dbg_tb.i_dbg_top.status_cnt[2:0]'h \
268
      dbg_tb.i_dbg_top.status_cnt_end \
269
      dbg_tb.i_dbg_top.tck_i \
270
      dbg_tb.i_dbg_top.tdi_i \
271
      dbg_tb.i_dbg_top.tdo_o \
272
      dbg_tb.i_dbg_top.tdo_module_select \
273
      dbg_tb.i_dbg_top.update_dr_i \
274
      dbg_tb.i_dbg_top.crc_en \
275
      dbg_tb.i_dbg_top.crc_en_dbg \
276
      dbg_tb.i_dbg_top.crc_en_wb \
277
      dbg_tb.status[3:0]'h \
278
      dbg_tb.crc_match_in \
279
 
280
add group \
281
    crc_out \
282
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.clk \
283
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc[31:0]'h \
284
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc_match \
285
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc_out \
286
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.data \
287
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.enable \
288
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.shift \
289
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.new_crc[31:0]'h \
290
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.rst \
291
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.sync_rst \
292
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.clk \
293
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc[31:0]'h \
294
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc_match \
295
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.crc_out \
296
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.data \
297
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.enable \
298
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.shift \
299
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.new_crc[31:0]'h \
300
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.rst \
301
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_out.sync_rst \
302
 
303
add group \
304
    crc_in \
305
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.clk \
306
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.crc_match \
307
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.data \
308
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.enable \
309
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.new_crc[31:0]'h \
310
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.rst \
311
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.shift \
312
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.sync_rst \
313
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.crc[31:0]'h \
314
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.clk \
315
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.crc_match \
316
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.data \
317
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.enable \
318
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.new_crc[31:0]'h \
319
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.rst \
320
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.shift \
321
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.sync_rst \
322
      dbg_tb.i_dbg_top.i_dbg_crc32_d1_in.crc[31:0]'h \
323
 
324
add group \
325
    cpu_module \
326
      dbg_tb.test_text[199:0]'a \
327
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_ce_i \
328
      dbg_tb.i_dbg_top.i_dbg_cpu.curr_cmd[3:0]'h \
329
      dbg_tb.i_dbg_top.i_dbg_cpu.curr_cmd_go \
330
      dbg_tb.i_dbg_top.i_dbg_cpu.cmd_cnt[2:0]'h \
331
      dbg_tb.i_dbg_top.i_dbg_cpu.addr_len_cnt[5:0]'h \
332
      dbg_tb.i_dbg_top.i_dbg_cpu.data_cnt_en \
333
      dbg_tb.i_dbg_top.i_dbg_cpu.data_cnt[19:0]'h \
334
      dbg_tb.i_dbg_top.i_dbg_cpu.crc_cnt[5:0]'h \
335
      dbg_tb.i_dbg_top.i_dbg_cpu.status_cnt[2:0]'h \
336
      dbg_tb.i_dbg_top.i_dbg_cpu.adr[31:0]'h \
337
      dbg_tb.i_dbg_top.i_dbg_cpu.acc_type[3:0]'h \
338
      dbg_tb.i_dbg_top.i_dbg_cpu.len[15:0]'h \
339
      dbg_tb.i_dbg_top.i_dbg_cpu.start_rd_tck \
340
      dbg_tb.i_dbg_top.i_dbg_cpu.start_wr_tck \
341
      dbg_tb.i_dbg_top.i_dbg_cpu.long_q \
342
      dbg_tb.i_dbg_top.i_dbg_cpu.acc_type[3:0]'h \
343
      dbg_tb.i_dbg_top.i_dbg_cpu.long \
344
      dbg_tb.i_dbg_top.i_dbg_cpu.data_cnt[19:0]'h \
345
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_stb_o \
346
      dbg_tb.i_dbg_top.i_dbg_cpu.i_dbg_cpu_registers.cpu_rst_o \
347
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_stall_o \
348
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_reg_stall \
349
      dbg_tb.i_dbg_top.i_dbg_cpu.fifo_full \
350
      dbg_tb.i_dbg_top.i_dbg_cpu.latch_data \
351
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_overrun \
352
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_overrun_tck \
353
      dbg_tb.i_dbg_top.i_dbg_cpu.underrun_tck \
354
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_reg_stall \
355
      dbg_tb.test_text[199:0]'a \
356
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_ce_i \
357
      dbg_tb.i_dbg_top.i_dbg_cpu.curr_cmd[3:0]'h \
358
      dbg_tb.i_dbg_top.i_dbg_cpu.curr_cmd_go \
359
      dbg_tb.i_dbg_top.i_dbg_cpu.cmd_cnt[2:0]'h \
360
      dbg_tb.i_dbg_top.i_dbg_cpu.addr_len_cnt[5:0]'h \
361
      dbg_tb.i_dbg_top.i_dbg_cpu.data_cnt[19:0]'h \
362
      dbg_tb.i_dbg_top.i_dbg_cpu.crc_cnt[5:0]'h \
363
      dbg_tb.i_dbg_top.i_dbg_cpu.status_cnt[2:0]'h \
364
      dbg_tb.i_dbg_top.i_dbg_cpu.adr[31:0]'h \
365
      dbg_tb.i_dbg_top.i_dbg_cpu.acc_type[3:0]'h \
366
      dbg_tb.i_dbg_top.i_dbg_cpu.len[15:0]'h \
367
      dbg_tb.i_dbg_top.i_dbg_cpu.start_wr_tck \
368
      dbg_tb.i_dbg_top.i_dbg_cpu.long_q \
369
      dbg_tb.i_dbg_top.i_dbg_cpu.acc_type[3:0]'h \
370
      dbg_tb.i_dbg_top.i_dbg_cpu.long \
371
      dbg_tb.i_dbg_top.i_dbg_cpu.data_cnt[19:0]'h \
372
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_stall_o \
373
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_stb_o \
374
      dbg_tb.i_dbg_top.i_dbg_cpu.i_dbg_cpu_registers.cpu_rst_o \
375
      dbg_tb.i_dbg_top.i_dbg_cpu.i_dbg_cpu_registers.cpu_stall_o \
376
      dbg_tb.i_dbg_top.i_dbg_cpu.cpu_overrun \
377
      dbg_tb.i_dbg_top.i_dbg_cpu.underrun_tck \
378
 
379
add group \
380
    cpu_behavioural \
381
      dbg_tb.i_cpu_behavioral.cpu_ack_o \
382
      dbg_tb.i_cpu_behavioral.cpu_addr_i[31:0]'h \
383
      dbg_tb.i_cpu_behavioral.cpu_bp_o \
384
      dbg_tb.i_cpu_behavioral.cpu_clk_o \
385
      dbg_tb.i_cpu_behavioral.cpu_data_i[31:0]'h \
386
      dbg_tb.i_cpu_behavioral.cpu_data_o[31:0]'h \
387
      dbg_tb.i_cpu_behavioral.cpu_rst_i \
388
      dbg_tb.i_cpu_behavioral.cpu_rst_o \
389
      dbg_tb.i_cpu_behavioral.cpu_stall_i \
390
      dbg_tb.i_cpu_behavioral.cpu_stb_i \
391
      dbg_tb.i_cpu_behavioral.cpu_we_i \
392
 
393
 
394
deselect all
395
open window waveform 1 geometry 10 60 1592 1139
396
zoom at 914057.1(0)ns 0.00038020 0.00000000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.