OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [asyst_3/] [or1200/] [rtl/] [verilog/] [or1200_tt.v] - Blame information for rev 617

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Tick Timer                                         ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  TT according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 617 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.10  2001/11/13 10:00:49  lampret
51
// Fixed tick timer interrupt reporting by using TTCR[IP] bit.
52
//
53
// Revision 1.9  2001/11/10 03:43:57  lampret
54
// Fixed exceptions.
55
//
56
// Revision 1.8  2001/10/21 17:57:16  lampret
57
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
58
//
59
// Revision 1.7  2001/10/14 13:12:10  lampret
60
// MP3 version.
61
//
62
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
63
// no message
64
//
65
// Revision 1.2  2001/08/09 13:39:33  lampret
66
// Major clean-up.
67
//
68
// Revision 1.1  2001/07/20 00:46:23  lampret
69
// Development version of RTL. Libraries are missing.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
module or1200_tt(
79
        // RISC Internal Interface
80 617 lampret
        clk, rst, du_stall,
81
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
82 504 lampret
        int
83
);
84
 
85
//
86
// RISC Internal Interface
87
//
88
input           clk;            // Clock
89
input           rst;            // Reset
90 617 lampret
input           du_stall;       // DU stall
91 504 lampret
input           spr_cs;         // SPR CS
92
input           spr_write;      // SPR Write
93
input   [31:0]   spr_addr;       // SPR Address
94
input   [31:0]   spr_dat_i;      // SPR Write Data
95
output  [31:0]   spr_dat_o;      // SPR Read Data
96
output          int;            // Interrupt output
97
 
98
`ifdef OR1200_TT_IMPLEMENTED
99
 
100
//
101
// TT Mode Register bits (or no register)
102
//
103
`ifdef OR1200_TT_TTMR
104
reg     [31:0]   ttmr;   // TTMR bits
105
`else
106
wire    [31:0]   ttmr;   // No TTMR register
107
`endif
108
 
109
//
110
// TT Count Register bits (or no register)
111
//
112
`ifdef OR1200_TT_TTCR
113
reg     [31:0]   ttcr;   // TTCR bits
114
`else
115
wire    [31:0]   ttcr;   // No TTCR register
116
`endif
117
 
118
//
119
// Internal wires & regs
120
//
121
wire            ttmr_sel;       // TTMR select
122
wire            ttcr_sel;       // TTCR select
123
wire            match;          // Asserted when TTMR[TP]
124
                                // is equal to TTCR[27:0]
125
wire            restart;        // Restart counter when asserted
126
wire            stop;           // Stop counter when asserted
127
reg     [31:0]   spr_dat_o;      // SPR data out
128
 
129
//
130
// TT registers address decoder
131
//
132
assign ttmr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTMR)) ? 1'b1 : 1'b0;
133
assign ttcr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTCR)) ? 1'b1 : 1'b0;
134
 
135
//
136
// Write to TTMR or update of TTMR[IP] bit
137
//
138
`ifdef OR1200_TT_TTMR
139
always @(posedge clk or posedge rst)
140
        if (rst)
141
                ttmr <= 32'b0;
142
        else if (ttmr_sel && spr_write)
143
                ttmr <= #1 spr_dat_i;
144
        else if (ttmr[`OR1200_TT_TTMR_IE])
145
                ttmr[`OR1200_TT_TTMR_IP] <= #1 ttmr[`OR1200_TT_TTMR_IP] | (match & ttmr[`OR1200_TT_TTMR_IE]);
146
`else
147
assign ttmr = {2'b11, 30'b0};    // TTMR[M] = 0x3
148
`endif
149
 
150
//
151
// Write to or increment of TTCR
152
//
153
`ifdef OR1200_TT_TTCR
154
always @(posedge clk or posedge rst)
155
        if (rst)
156
                ttcr <= 32'b0;
157
        else if (restart)
158
                ttcr <= #1 32'b0;
159
        else if (ttcr_sel && spr_write)
160
                ttcr <= #1 spr_dat_i;
161
        else if (!stop)
162
                ttcr <= #1 ttcr + 1'd1;
163
`else
164
assign ttcr = 32'b0;
165
`endif
166
 
167
//
168
// Read TT registers
169
//
170
always @(spr_addr or ttmr or ttcr)
171
        case (spr_addr[`OR1200_TTOFS_BITS])     // synopsys full_case parallel_case
172
`ifdef OR1200_TT_READREGS
173
                `OR1200_TT_OFS_TTMR: spr_dat_o = ttmr;
174
`endif
175
                default: spr_dat_o = ttcr;
176
        endcase
177
 
178
//
179
// A match when TTMR[TP] is equal to TTCR[27:0]
180
//
181
assign match = (ttmr[`OR1200_TT_TTMR_TP] == ttcr[27:0]) ? 1'b1 : 1'b0;
182
 
183
//
184
// Restart when match and TTMR[M]==0x1 or when rst is asserted
185
//
186
assign restart = (match && (ttmr[`OR1200_TT_TTMR_M] == 2'b01) || rst) ? 1'b1 : 1'b0;
187
 
188
//
189 617 lampret
// Stop when match and TTMR[M]==0x2 or when TTMR[M]==0x0 or when RISC is stalled by debug unit
190 504 lampret
//
191 617 lampret
assign stop = match & (ttmr[`OR1200_TT_TTMR_M] == 2'b10) | (ttmr[`OR1200_TT_TTMR_M] == 2'b00) | du_stall;
192 504 lampret
 
193
//
194
// Generate an interrupt request
195
//
196
assign int = ttmr[`OR1200_TT_TTMR_IP];
197
 
198
`else
199
 
200
//
201
// When TT is not implemented, drive all outputs as would when TT is disabled
202
//
203
assign int = 1'b0;
204
 
205
//
206
// Read TT registers
207
//
208
`ifdef OR1200_TT_READREGS
209
assign spr_dat_o = 32'b0;
210
`endif
211
 
212
`endif
213
 
214
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.