OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [mp3/] [rtl/] [verilog/] [audio/] [audio_top.v] - Blame information for rev 1780

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  MP3 demo Audio Interface Top Level                          ////
4
////                                                              ////
5
////  This file is part of the MP3 demo application               ////
6
////  http://www.opencores.org/cores/or1k/mp3/                    ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Audio interface top level for XSV board instantiating       ////
10
////  FIFOs, WISHBONE interface and XSV CODEC interface.          ////
11
////                                                              ////
12
////  To Do:                                                      ////
13
////   - nothing really                                           ////
14
////                                                              ////
15
////  Author(s):                                                  ////
16
////      - Lior Shtram, lior.shtram@flextronicssemi.com          ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2001 Authors                                   ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48
//
49
 
50
// synopsys translate_off
51
`include "timescale.v"
52
// synopsys translate_on
53
 
54
module audio_top (
55
        clk, rstn,
56
 
57
        wb_dat_i, wb_dat_o, wb_adr_i, wb_sel_i, wb_we_i, wb_cyc_i,
58
        wb_stb_i, wb_ack_o, wb_err_o,
59
 
60
        mclk, lrclk, sclk, sdin, sdout,
61
 
62
        audio_dreq,
63
 
64
        igor, simon, USB_VPO, USB_VMO
65
 
66
 
67
);
68
 
69
input           clk;
70
input           rstn;
71
 
72
input [31:0]     wb_dat_i;
73
output [31:0]    wb_dat_o;
74
input [31:0]     wb_adr_i;
75
input [3:0]      wb_sel_i;
76
input           wb_we_i;
77
input           wb_cyc_i;
78
input           wb_stb_i;
79
output          wb_ack_o;
80
output          wb_err_o;
81
 
82
output          mclk;
83
output          lrclk;
84
output          sclk;
85
output          sdin;
86
input           sdout;
87
 
88
output          audio_dreq;
89
 
90
input   igor;
91
input   simon;
92
output   USB_VPO;
93
output   USB_VMO;
94
 
95
parameter fifo_width = 16;
96
 
97
wire [fifo_width-1:0]    fifo_data_i;
98
wire [fifo_width-1:0]    fifo_data_o;
99
wire            fifo_clk_wr;
100
wire            fifo_clk_rd;
101
wire            fifo_full;
102
wire            fifo_empty;
103
wire            fifo_almost_full;
104
wire            fifo_almost_empty;
105
wire            fifo_rd_en;
106
wire            fifo_wr_en;
107
 
108
assign audio_dreq = fifo_almost_empty;
109
assign USB_VPO = fifo_almost_full;
110
assign USB_VMO = fifo_almost_empty;
111
 
112
 
113
 
114
audio_wb_if     i_audio_wb_if(
115
                .rstn( rstn ),
116
                .clk( clk ),
117
                .wb_dat_i( wb_dat_i ),
118
                .wb_dat_o( wb_dat_o ),
119
                .wb_adr_i( wb_adr_i ),
120
                .wb_sel_i( wb_sel_i ),
121
                .wb_we_i( wb_we_i ),
122
                .wb_cyc_i( wb_cyc_i ),
123
                .wb_stb_i( wb_stb_i ),
124
                .wb_ack_o( wb_ack_o ),
125
                .wb_err_o( wb_err_o ),
126
                .fifo_dat_o( fifo_data_i ),
127
                .fifo_clk_o( fifo_clk_wr ),
128
                .fifo_wr_en( fifo_wr_en ),
129
                .fifo_full( fifo_full ),
130
                .fifo_empty( fifo_empty ),
131
                .fifo_almost_full( fifo_almost_full ),
132
                .fifo_almost_empty( fifo_almost_empty ),
133
                .simon(igor),
134
                .igor(simon)
135
 
136
                );
137
 
138
`ifdef AUDIO_NO_FIFO
139
fifo_empty_16   i_audio_fifo (
140
                .AINIT( !rstn ),
141
                .DIN( fifo_data_i ),
142
                .DOUT( fifo_data_o ),
143
//              .WR_CLK( fifo_clk_rd ),
144
//              .RD_CLK( fifo_clk_wr ),
145
                .WR_CLK( fifo_clk_wr ),
146
                .RD_CLK( fifo_clk_rd ),
147
                .RD_EN( fifo_rd_en ),
148
                .WR_EN( fifo_wr_en ),
149
                .EMPTY( fifo_empty ),
150
                .FULL( fifo_full ),
151
                .ALMOST_EMPTY( fifo_almost_empty ),
152
                .ALMOST_FULL( fifo_almost_full )
153
                );
154
`else
155
/*
156
fifo8kx16 i_audio_fifo (
157
                .AINIT( !rstn ),
158
                .DIN( fifo_data_i ),
159
                .DOUT( fifo_data_o ),
160
//              .WR_CLK( fifo_clk_rd ),
161
//              .RD_CLK( fifo_clk_wr ),
162
                .WR_CLK( fifo_clk_wr ),
163
                .RD_CLK( fifo_clk_rd ),
164
                .RD_EN( fifo_rd_en ),
165
                .WR_EN( fifo_wr_en ),
166
                .EMPTY( fifo_empty ),
167
                .FULL( fifo_full ),
168
                .ALMOST_EMPTY( fifo_almost_empty ),
169
                .ALMOST_FULL( fifo_almost_full )
170
                );
171
*/
172
 
173
fifo_4095_16    i_audio_fifo (
174
                .AINIT( !rstn ),
175
                .DIN( fifo_data_i ),
176
                .DOUT( fifo_data_o ),
177
//              .WR_CLK( fifo_clk_rd ),
178
//              .RD_CLK( fifo_clk_wr ),
179
                .WR_CLK( fifo_clk_wr ),
180
                .RD_CLK( fifo_clk_rd ),
181
                .RD_EN( fifo_rd_en ),
182
                .WR_EN( fifo_wr_en ),
183
                .EMPTY( fifo_empty ),
184
                .FULL( fifo_full ),
185
                .ALMOST_EMPTY( fifo_almost_empty ),
186
                .ALMOST_FULL( fifo_almost_full )
187
                );
188
 
189
 
190
`endif
191
/*
192
fifo_1023_16 i_audio_fifo (
193
                .AINIT( !rstn ),
194
                .DIN( fifo_data_i ),
195
                .DOUT( fifo_data_o ),
196
                .WR_CLK( clk ),
197
                .RD_CLK( clk ),
198
                .RD_EN( fifo_rd_en ),
199
                .WR_EN( fifo_wr_en ),
200
                .EMPTY( fifo_empty ),
201
                .FULL( fifo_full ),
202
                .ALMOST_EMPTY( fifo_almost_empty ),
203
                .ALMOST_FULL( fifo_almost_full )
204
                ); // synthesis black_box
205
*/
206
 
207
 
208
 
209
 
210
`ifdef UNUSED
211
assign fifo_data_o = fifo_data_i;
212
assign fifo_full = 1'b0;
213
assign fifo_empty = 1'b0;
214
assign fifo_almost_full = 1'b0;
215
assign fifo_almost_empty = 1'b0;
216
`endif
217
 
218
audio_codec_if  i_audio_codec_if (
219
                .rstn( rstn ),
220
                .clk( clk ),
221
                .fifo_clk( fifo_clk_rd ),
222
                .fifo_data( fifo_data_o ),
223
                .fifo_rd_en( fifo_rd_en ),
224
                .sclk( sclk ),
225
                .mclk( mclk ),
226
                .lrclk( lrclk ),
227
                .sdout( sdout ),
228
                .sdin( sdin )
229
                );
230
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.