OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [mp3/] [rtl/] [verilog/] [dbg_interface/] [dbg_crc8_d1.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_crc8_d1 crc1.v                                          ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48
// Revision 1.1.1.1  2001/10/06 10:19:09  igorm
49
// no message
50
//
51
// Revision 1.2  2001/09/20 10:11:25  mohor
52
// Working version. Few bugs fixed, comments added.
53
//
54
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
55
// Initial official release.
56
//
57
// Revision 1.3  2001/06/01 22:22:36  mohor
58
// This is a backup. It is not a fully working version. Not for use, yet.
59
//
60
// Revision 1.2  2001/05/18 13:10:00  mohor
61
// Headers changed. All additional information is now avaliable in the README.txt file.
62
//
63
// Revision 1.1.1.1  2001/05/18 06:35:03  mohor
64
// Initial release
65
//
66
//
67
///////////////////////////////////////////////////////////////////////
68
// File:  CRC8_D1.v
69
// Date:  Fri Apr 27 20:56:55 2001
70
//
71
// Copyright (C) 1999 Easics NV.
72
// This source file may be used and distributed without restriction
73
// provided that this copyright statement is not removed from the file
74
// and that any derivative work contains the original copyright notice
75
// and the associated disclaimer.
76
//
77
// THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS
78
// OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
79
// WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.
80
//
81
// Purpose: Verilog module containing a synthesizable CRC function
82
//   * polynomial: (0 1 2 8)
83
//   * data width: 1
84
//
85
// Info: jand@easics.be (Jan Decaluwe)
86
//       http://www.easics.com
87
///////////////////////////////////////////////////////////////////////
88
 
89
`include "dbg_timescale.v"
90
`include "dbg_defines.v"
91
 
92
 
93
module dbg_crc8_d1 (Data, EnableCrc, Reset, SyncResetCrc, CrcOut, Clk);
94
 
95
parameter Tp = 1;
96
 
97
 
98
input Data;
99
input EnableCrc;
100
input Reset;
101
input SyncResetCrc;
102
input Clk;
103
 
104
 
105
output [7:0] CrcOut;
106
reg    [7:0] CrcOut;
107
 
108
 
109
always @ (posedge Clk or posedge Reset)
110
begin
111
  if(Reset)
112
    CrcOut[7:0] <= #Tp 0;
113
  else
114
  if(SyncResetCrc)
115
    CrcOut[7:0] <= #Tp 0;
116
  else
117
  if(EnableCrc)
118
    CrcOut[7:0] <= #Tp nextCRC8_D1(Data, CrcOut);
119
end
120
 
121
 
122
// polynomial: (0 1 2 8)
123
// data width: 1
124
function [7:0] nextCRC8_D1;
125
 
126
  input Data;
127
  input [7:0] Crc;
128
 
129
  reg [0:0] D;
130
  reg [7:0] C;
131
  reg [7:0] NewCRC;
132
 
133
  begin
134
    D[0] = Data;
135
    C = Crc;
136
 
137
    NewCRC[0] = D[0] ^ C[7];
138
    NewCRC[1] = D[0] ^ C[0] ^ C[7];
139
    NewCRC[2] = D[0] ^ C[1] ^ C[7];
140
    NewCRC[3] = C[2];
141
    NewCRC[4] = C[3];
142
    NewCRC[5] = C[4];
143
    NewCRC[6] = C[5];
144
    NewCRC[7] = C[6];
145
 
146
    nextCRC8_D1 = NewCRC;
147
  end
148
endfunction
149
 
150
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.