OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [orp/] [orp_soc/] [rtl/] [verilog/] [dbg_interface/] [dbg_register.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 746 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_register.v                                              ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48
// Revision 1.3  2001/11/26 10:47:09  mohor
49
// Crc generation is different for read or write commands. Small synthesys fixes.
50
//
51
// Revision 1.2  2001/10/19 11:40:02  mohor
52
// dbg_timescale.v changed to timescale.v This is done for the simulation of
53
// few different cores in a single project.
54
//
55
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
56
// Initial official release.
57
//
58
//
59
//
60
//
61
//
62
 
63
// synopsys translate_off
64
`include "timescale.v"
65
// synopsys translate_on
66
 
67
module dbg_register(DataIn, DataOut, Write, Clk, Reset, Default);
68
 
69
parameter WIDTH = 8; // default parameter of the register width
70
 
71
input [WIDTH-1:0] DataIn;
72
 
73
input Write;
74
input Clk;
75
input Reset;
76
input [WIDTH-1:0] Default;
77
 
78
output [WIDTH-1:0] DataOut;
79
reg    [WIDTH-1:0] DataOut;
80
 
81
//always @ (posedge Clk or posedge Reset)
82
always @ (posedge Clk)
83
begin
84
  if(Reset)
85
    DataOut[WIDTH-1:0]<=#1 Default;
86
  else
87
    begin
88
      if(Write)                         // write
89
        DataOut[WIDTH-1:0]<=#1 DataIn[WIDTH-1:0];
90
    end
91
end
92
 
93
 
94
endmodule   // Register
95
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.