OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [orp/] [orp_soc/] [rtl/] [verilog/] [ethernet.old/] [eth_wishbone.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 746 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_wishbone.v                                              ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44
// Revision 1.14  2002/03/02 19:12:40  mohor
45
// Byte ordering changed (Big Endian used). casex changed with case because
46
// Xilinx Foundation had problems. Tested in HW. It WORKS.
47
//
48
// Revision 1.13  2002/02/26 16:59:55  mohor
49
// Small fixes for external/internal DMA missmatches.
50
//
51
// Revision 1.12  2002/02/26 16:22:07  mohor
52
// Interrupts changed
53
//
54
// Revision 1.11  2002/02/15 17:07:39  mohor
55
// Status was not written correctly when frames were discarted because of
56
// address mismatch.
57
//
58
// Revision 1.10  2002/02/15 12:17:39  mohor
59
// RxStartFrm cleared when abort or retry comes.
60
//
61
// Revision 1.9  2002/02/15 11:59:10  mohor
62
// Changes that were lost when updating from 1.5 to 1.8 fixed.
63
//
64
// Revision 1.8  2002/02/14 20:54:33  billditt
65
// Addition  of new module eth_addrcheck.v
66
//
67
// Revision 1.7  2002/02/12 17:03:47  mohor
68
// RxOverRun added to statuses.
69
//
70
// Revision 1.6  2002/02/11 09:18:22  mohor
71
// Tx status is written back to the BD.
72
//
73
// Revision 1.5  2002/02/08 16:21:54  mohor
74
// Rx status is written back to the BD.
75
//
76
// Revision 1.4  2002/02/06 14:10:21  mohor
77
// non-DMA host interface added. Select the right configutation in eth_defines.
78
//
79
// Revision 1.3  2002/02/05 16:44:39  mohor
80
// Both rx and tx part are finished. Tested with wb_clk_i between 10 and 200
81
// MHz. Statuses, overrun, control frame transmission and reception still  need
82
// to be fixed.
83
//
84
// Revision 1.2  2002/02/01 12:46:51  mohor
85
// Tx part finished. TxStatus needs to be fixed. Pause request needs to be
86
// added.
87
//
88
// Revision 1.1  2002/01/23 10:47:59  mohor
89
// Initial version. Equals to eth_wishbonedma.v at this moment.
90
//
91
//
92
//
93
//
94
 
95
// Build pause frame
96
// Check GotData and evaluate data (abort or something like that comes before StartFrm)
97
// m_wb_err_i should start status underrun or uverrun
98
// r_RecSmall not used
99
 
100
`include "eth_defines.v"
101
`include "timescale.v"
102
 
103
 
104
module eth_wishbone
105
   (
106
 
107
    // WISHBONE common
108
    WB_CLK_I, WB_DAT_I, WB_DAT_O,
109
 
110
    // WISHBONE slave
111
                WB_ADR_I, WB_WE_I, WB_ACK_O,
112
    BDCs,
113
 
114
    Reset,
115
 
116
    // WISHBONE master
117
    m_wb_adr_o, m_wb_sel_o, m_wb_we_o,
118
    m_wb_dat_o, m_wb_dat_i, m_wb_cyc_o,
119
    m_wb_stb_o, m_wb_ack_i, m_wb_err_i,
120
 
121
    //TX
122
    MTxClk, TxStartFrm, TxEndFrm, TxUsedData, TxData,
123
    TxRetry, TxAbort, TxUnderRun, TxDone, TPauseRq, TxPauseTV, PerPacketCrcEn,
124
    PerPacketPad,
125
 
126
    //RX
127
    MRxClk, RxData, RxValid, RxStartFrm, RxEndFrm, RxAbort,
128
 
129
    // Register
130
    r_TxEn, r_RxEn, r_TxBDNum, TX_BD_NUM_Wr, r_RecSmall,
131
 
132
    WillSendControlFrame, TxCtrlEndFrm, // igor !!! WillSendControlFrame gre najbrz ven
133
 
134
    // Interrupts
135
    TxB_IRQ, TxE_IRQ, RxB_IRQ, RxE_IRQ, Busy_IRQ, TxC_IRQ, RxC_IRQ,
136
 
137
    // Rx Status
138
    InvalidSymbol, LatchedCrcError, RxLateCollision, ShortFrame, DribbleNibble,
139
    ReceivedPacketTooBig, RxLength, LoadRxStatus, ReceivedPacketGood,
140
 
141
    // Tx Status
142
    RetryCntLatched, RetryLimit, LateCollLatched, DeferLatched, CarrierSenseLost
143
                );
144
 
145
 
146
parameter Tp = 1;
147
 
148
// WISHBONE common
149
input           WB_CLK_I;       // WISHBONE clock
150
input  [31:0]   WB_DAT_I;       // WISHBONE data input
151
output [31:0]   WB_DAT_O;       // WISHBONE data output
152
 
153
// WISHBONE slave
154
input   [9:2]   WB_ADR_I;       // WISHBONE address input
155
input           WB_WE_I;        // WISHBONE write enable input
156
input           BDCs;           // Buffer descriptors are selected
157
output          WB_ACK_O;       // WISHBONE acknowledge output
158
 
159
// WISHBONE master
160
output  [31:0]  m_wb_adr_o;     // 
161
output   [3:0]  m_wb_sel_o;     // 
162
output          m_wb_we_o;      // 
163
output  [31:0]  m_wb_dat_o;     // 
164
output          m_wb_cyc_o;     // 
165
output          m_wb_stb_o;     // 
166
input   [31:0]  m_wb_dat_i;     // 
167
input           m_wb_ack_i;     // 
168
input           m_wb_err_i;     // 
169
 
170
input           Reset;       // Reset signal
171
 
172
// Rx Status signals
173
input           InvalidSymbol;    // Invalid symbol was received during reception in 100 Mbps mode
174
input           LatchedCrcError;  // CRC error
175
input           RxLateCollision;  // Late collision occured while receiving frame
176
input           ShortFrame;       // Frame shorter then the minimum size (r_MinFL) was received while small packets are enabled (r_RecSmall)
177
input           DribbleNibble;    // Extra nibble received
178
input           ReceivedPacketTooBig;// Received packet is bigger than r_MaxFL
179
input    [15:0] RxLength;         // Length of the incoming frame
180
input           LoadRxStatus;     // Rx status was loaded
181
input           ReceivedPacketGood;// Received packet's length and CRC are good
182
 
183
// Tx Status signals
184
input     [3:0] RetryCntLatched;  // Latched Retry Counter
185
input           RetryLimit;       // Retry limit reached (Retry Max value + 1 attempts were made)
186
input           LateCollLatched;  // Late collision occured
187
input           DeferLatched;     // Defer indication (Frame was defered before sucessfully sent)
188
input           CarrierSenseLost; // Carrier Sense was lost during the frame transmission
189
 
190
// Tx
191
input           MTxClk;         // Transmit clock (from PHY)
192
input           TxUsedData;     // Transmit packet used data
193
input           TxRetry;        // Transmit packet retry
194
input           TxAbort;        // Transmit packet abort
195
input           TxDone;         // Transmission ended
196
output          TxStartFrm;     // Transmit packet start frame
197
output          TxEndFrm;       // Transmit packet end frame
198
output  [7:0]   TxData;         // Transmit packet data byte
199
output          TxUnderRun;     // Transmit packet under-run
200
output          PerPacketCrcEn; // Per packet crc enable
201
output          PerPacketPad;   // Per packet pading
202
output          TPauseRq;       // Tx PAUSE control frame
203
output [15:0]   TxPauseTV;      // PAUSE timer value
204
input           WillSendControlFrame;
205
input           TxCtrlEndFrm;
206
 
207
// Rx
208
input           MRxClk;         // Receive clock (from PHY)
209
input   [7:0]   RxData;         // Received data byte (from PHY)
210
input           RxValid;        // 
211
input           RxStartFrm;     // 
212
input           RxEndFrm;       // 
213
input           RxAbort;        // This signal is set when address doesn't match.
214
 
215
//Register
216
input           r_TxEn;         // Transmit enable
217
input           r_RxEn;         // Receive enable
218
input   [7:0]   r_TxBDNum;      // Receive buffer descriptor number
219
input           TX_BD_NUM_Wr;   // RxBDNumber written
220
input           r_RecSmall;     // Receive small frames igor !!! tega uporabi
221
 
222
// Interrupts
223
output TxB_IRQ;
224
output TxE_IRQ;
225
output RxB_IRQ;
226
output RxE_IRQ;
227
output Busy_IRQ;
228
output TxC_IRQ;
229
output RxC_IRQ;
230
 
231
 
232
reg TxB_IRQ;
233
reg TxE_IRQ;
234
reg RxB_IRQ;
235
reg RxE_IRQ;
236
 
237
 
238
reg             TxStartFrm;
239
reg             TxEndFrm;
240
reg     [7:0]   TxData;
241
 
242
reg             TxUnderRun;
243
reg             TxUnderRun_wb;
244
 
245
reg             TxBDRead;
246
wire            TxStatusWrite;
247
 
248
reg     [1:0]   TxValidBytesLatched;
249
 
250
reg    [15:0]   TxLength;
251
reg    [15:0]   LatchedTxLength;
252
reg   [14:11]   TxStatus;
253
 
254
reg   [14:13]   RxStatus;
255
 
256
reg             TxStartFrm_wb;
257
reg             TxRetry_wb;
258
reg             TxAbort_wb;
259
reg             TxDone_wb;
260
 
261
reg             TxDone_wb_q;
262
reg             TxAbort_wb_q;
263
reg             TxRetry_wb_q;
264
reg             RxBDReady;
265
reg             TxBDReady;
266
 
267
reg             RxBDRead;
268
wire            RxStatusWrite;
269
 
270
reg    [31:0]   TxDataLatched;
271
reg     [1:0]   TxByteCnt;
272
reg             LastWord;
273
reg             ReadTxDataFromFifo_tck;
274
 
275
reg             BlockingTxStatusWrite;
276
reg             BlockingTxBDRead;
277
 
278
reg             Flop;
279
 
280
reg     [7:0]   TxBDAddress;
281
reg     [7:0]   RxBDAddress;
282
 
283
reg             TxRetrySync1;
284
reg             TxAbortSync1;
285
reg             TxDoneSync1;
286
 
287
reg             TxAbort_q;
288
reg             TxRetry_q;
289
reg             TxUsedData_q;
290
 
291
reg    [31:0]   RxDataLatched2;
292
 
293
// reg    [23:0]   RxDataLatched1;
294
reg    [31:8]   RxDataLatched1;     // Big Endian Byte Ordering
295
 
296
reg     [1:0]   RxValidBytes;
297
reg     [1:0]   RxByteCnt;
298
reg             LastByteIn;
299
reg             ShiftWillEnd;
300
 
301
reg             WriteRxDataToFifo;
302
reg    [15:0]   LatchedRxLength;
303
reg             RxAbortLatched;
304
 
305
reg             ShiftEnded;
306
reg             RxOverrun;
307
 
308
reg             BDWrite;                    // BD Write Enable for access from WISHBONE side
309
reg             BDRead;                     // BD Read access from WISHBONE side
310
wire   [31:0]   RxBDDataIn;                 // Rx BD data in
311
wire   [31:0]   TxBDDataIn;                 // Tx BD data in
312
 
313
reg             TxEndFrm_wb;
314
 
315
wire            TxRetryPulse;
316
wire            TxDonePulse;
317
wire            TxAbortPulse;
318
 
319
wire            StartRxBDRead;
320
wire            StartRxStatusWrite;
321
 
322
wire            StartTxBDRead;
323
 
324
wire            TxIRQEn;
325
wire            WrapTxStatusBit;
326
 
327
wire            RxIRQEn;
328
wire            WrapRxStatusBit;
329
 
330
wire    [1:0]   TxValidBytes;
331
 
332
wire    [7:0]   TempTxBDAddress;
333
wire    [7:0]   TempRxBDAddress;
334
 
335
wire            SetGotData;
336
wire            GotDataEvaluate;
337
 
338
reg             temp_ack;
339
 
340
wire    [6:0]   RxStatusIn;
341
reg     [6:0]   RxStatusInLatched;
342
 
343
`ifdef ETH_REGISTERED_OUTPUTS
344
reg             temp_ack2;
345
reg [31:0]      registered_ram_do;
346
`endif
347
 
348
reg WbEn, WbEn_q;
349
reg RxEn, RxEn_q;
350
reg TxEn, TxEn_q;
351
 
352
wire ram_ce;
353
wire ram_we;
354
wire ram_oe;
355
reg [7:0]   ram_addr;
356
reg [31:0]  ram_di;
357
wire [31:0] ram_do;
358
 
359
wire StartTxPointerRead;
360
reg  TxPointerRead;
361
reg TxEn_needed;
362
reg RxEn_needed;
363
 
364
wire StartRxPointerRead;
365
reg RxPointerRead;
366
 
367
 
368
always @ (posedge WB_CLK_I or posedge Reset)
369
begin
370
  if(Reset)
371
    begin
372
      temp_ack <=#Tp 1'b0;
373
      `ifdef ETH_REGISTERED_OUTPUTS
374
      temp_ack2 <=#Tp 1'b0;
375
      registered_ram_do <=#Tp 32'h0;
376
      `endif
377
    end
378
  else
379
    begin
380
      temp_ack <=#Tp BDWrite & WbEn & WbEn_q | BDRead & WbEn & ~WbEn_q;
381
      `ifdef ETH_REGISTERED_OUTPUTS
382
      temp_ack2 <=#Tp temp_ack;
383
      registered_ram_do <=#Tp ram_do;
384
      `endif
385
    end
386
end
387
 
388
`ifdef ETH_REGISTERED_OUTPUTS
389
  assign WB_ACK_O = temp_ack2;
390
  assign WB_DAT_O = registered_ram_do;
391
`else
392
  assign WB_ACK_O = temp_ack;
393
  assign WB_DAT_O = ram_do;
394
`endif
395
 
396
 
397
// Generic synchronous single-port RAM interface
398
generic_spram #(8, 32) ram (
399
        // Generic synchronous single-port RAM interface
400
        .clk(WB_CLK_I), .rst(Reset), .ce(ram_ce), .we(ram_we), .oe(ram_oe), .addr(ram_addr), .di(ram_di), .do(ram_do)
401
);
402
 
403
assign ram_ce = 1'b1;
404
assign ram_we = BDWrite & WbEn & WbEn_q | TxStatusWrite | RxStatusWrite;
405
assign ram_oe = BDRead & WbEn & WbEn_q | TxEn & TxEn_q & (TxBDRead | TxPointerRead) | RxEn & RxEn_q & (RxBDRead | RxPointerRead);
406
 
407
 
408
always @ (posedge WB_CLK_I or posedge Reset)
409
begin
410
  if(Reset)
411
    TxEn_needed <=#Tp 1'b0;
412
  else
413
  if(~TxBDReady & r_TxEn & WbEn & ~WbEn_q)
414
    TxEn_needed <=#Tp 1'b1;
415
  else
416
  if(TxPointerRead & TxEn & TxEn_q)
417
    TxEn_needed <=#Tp 1'b0;
418
end
419
 
420
 
421
// Enabling access to the RAM for three devices.
422
always @ (posedge WB_CLK_I or posedge Reset)
423
begin
424
  if(Reset)
425
    begin
426
      WbEn <=#Tp 1'b1;
427
      RxEn <=#Tp 1'b0;
428
      TxEn <=#Tp 1'b0;
429
      ram_addr <=#Tp 8'h0;
430
      ram_di <=#Tp 32'h0;
431
      BDRead <=#Tp 1'b0;
432
      BDWrite <=#Tp 1'b0;
433
    end
434
  else
435
    begin
436
      // Switching between three stages depends on enable signals
437
      casex ({WbEn_q, RxEn_q, TxEn_q, RxEn_needed, TxEn_needed})  // synopsys parallel_case
438
        5'b100_1x :
439
          begin
440
            WbEn <=#Tp 1'b0;
441
            RxEn <=#Tp 1'b1;  // wb access stage and r_RxEn is enabled
442
            TxEn <=#Tp 1'b0;
443
            ram_addr <=#Tp RxBDAddress + RxPointerRead;
444
            ram_di <=#Tp RxBDDataIn;
445
          end
446
        5'b100_01 :
447
          begin
448
            WbEn <=#Tp 1'b0;
449
            RxEn <=#Tp 1'b0;
450
            TxEn <=#Tp 1'b1;  // wb access stage, r_RxEn is disabled but r_TxEn is enabled
451
            ram_addr <=#Tp TxBDAddress + TxPointerRead;
452
            ram_di <=#Tp TxBDDataIn;
453
          end
454
        5'b010_x0 :
455
          begin
456
            WbEn <=#Tp 1'b1;  // RxEn access stage and r_TxEn is disabled
457
            RxEn <=#Tp 1'b0;
458
            TxEn <=#Tp 1'b0;
459
            ram_addr <=#Tp WB_ADR_I[9:2];
460
            ram_di <=#Tp WB_DAT_I;
461
            BDWrite <=#Tp BDCs & WB_WE_I;
462
            BDRead <=#Tp BDCs & ~WB_WE_I;
463
          end
464
        5'b010_x1 :
465
          begin
466
            WbEn <=#Tp 1'b0;
467
            RxEn <=#Tp 1'b0;
468
            TxEn <=#Tp 1'b1;  // RxEn access stage and r_TxEn is enabled
469
            ram_addr <=#Tp TxBDAddress + TxPointerRead;
470
            ram_di <=#Tp TxBDDataIn;
471
          end
472
        5'b001_xx :
473
          begin
474
            WbEn <=#Tp 1'b1;  // TxEn access stage (we always go to wb access stage)
475
            RxEn <=#Tp 1'b0;
476
            TxEn <=#Tp 1'b0;
477
            ram_addr <=#Tp WB_ADR_I[9:2];
478
            ram_di <=#Tp WB_DAT_I;
479
            BDWrite <=#Tp BDCs & WB_WE_I;
480
            BDRead <=#Tp BDCs & ~WB_WE_I;
481
          end
482
        5'b100_00 :
483
          begin
484
            WbEn <=#Tp 1'b0;  // WbEn access stage and there is no need for other stages. WbEn needs to be switched off for a bit
485
          end
486
        5'b000_00 :
487
          begin
488
            WbEn <=#Tp 1'b1;  // Idle state. We go to WbEn access stage.
489
            RxEn <=#Tp 1'b0;
490
            TxEn <=#Tp 1'b0;
491
            ram_addr <=#Tp WB_ADR_I[9:2];
492
            ram_di <=#Tp WB_DAT_I;
493
            BDWrite <=#Tp BDCs & WB_WE_I;
494
            BDRead <=#Tp BDCs & ~WB_WE_I;
495
          end
496
        default :
497
          begin
498
            WbEn <=#Tp 1'b1;  // We go to wb access stage
499
            RxEn <=#Tp 1'b0;
500
            TxEn <=#Tp 1'b0;
501
            ram_addr <=#Tp WB_ADR_I[9:2];
502
            ram_di <=#Tp WB_DAT_I;
503
            BDWrite <=#Tp BDCs & WB_WE_I;
504
            BDRead <=#Tp BDCs & ~WB_WE_I;
505
          end
506
      endcase
507
    end
508
end
509
 
510
 
511
// Delayed stage signals
512
always @ (posedge WB_CLK_I or posedge Reset)
513
begin
514
  if(Reset)
515
    begin
516
      WbEn_q <=#Tp 1'b0;
517
      RxEn_q <=#Tp 1'b0;
518
      TxEn_q <=#Tp 1'b0;
519
    end
520
  else
521
    begin
522
      WbEn_q <=#Tp WbEn;
523
      RxEn_q <=#Tp RxEn;
524
      TxEn_q <=#Tp TxEn;
525
    end
526
end
527
 
528
// Changes for tx occur every second clock. Flop is used for this manner.
529
always @ (posedge MTxClk or posedge Reset)
530
begin
531
  if(Reset)
532
    Flop <=#Tp 1'b0;
533
  else
534
  if(TxDone | TxAbort | TxRetry_q)
535
    Flop <=#Tp 1'b0;
536
  else
537
  if(TxUsedData)
538
    Flop <=#Tp ~Flop;
539
end
540
 
541
wire ResetTxBDReady;
542
assign ResetTxBDReady = TxDonePulse | TxAbortPulse | TxRetryPulse;
543
 
544
// Latching READY status of the Tx buffer descriptor
545
always @ (posedge WB_CLK_I or posedge Reset)
546
begin
547
  if(Reset)
548
    TxBDReady <=#Tp 1'b0;
549
  else
550
  if(TxEn & TxEn_q & TxBDRead)
551
    TxBDReady <=#Tp ram_do[15] & (ram_do[31:16] > 4); // TxBDReady is sampled only once at the beginning.
552
  else                                                // Only packets larger then 4 bytes are transmitted.
553
  if(ResetTxBDReady)
554
    TxBDReady <=#Tp 1'b0;
555
end
556
 
557
 
558
// Reading the Tx buffer descriptor
559
assign StartTxBDRead = (TxRetry_wb | TxStatusWrite) & ~BlockingTxBDRead;
560
 
561
always @ (posedge WB_CLK_I or posedge Reset)
562
begin
563
  if(Reset)
564
    TxBDRead <=#Tp 1'b1;
565
  else
566
  if(StartTxBDRead)
567
    TxBDRead <=#Tp 1'b1;
568
  else
569
  if(TxBDReady)
570
    TxBDRead <=#Tp 1'b0;
571
end
572
 
573
 
574
// Reading Tx BD pointer
575
assign StartTxPointerRead = TxBDRead & TxBDReady;
576
 
577
// Reading Tx BD Pointer
578
always @ (posedge WB_CLK_I or posedge Reset)
579
begin
580
  if(Reset)
581
    TxPointerRead <=#Tp 1'b0;
582
  else
583
  if(StartTxPointerRead)
584
    TxPointerRead <=#Tp 1'b1;
585
  else
586
  if(TxEn_q)
587
    TxPointerRead <=#Tp 1'b0;
588
end
589
 
590
 
591
// Writing status back to the Tx buffer descriptor
592
assign TxStatusWrite = (TxDone_wb | TxAbort_wb) & TxEn & TxEn_q & ~BlockingTxStatusWrite;
593
 
594
 
595
 
596
// Status writing must occur only once. Meanwhile it is blocked.
597
always @ (posedge WB_CLK_I or posedge Reset)
598
begin
599
  if(Reset)
600
    BlockingTxStatusWrite <=#Tp 1'b0;
601
  else
602
  if(TxStatusWrite)
603
    BlockingTxStatusWrite <=#Tp 1'b1;
604
  else
605
  if(~TxDone_wb & ~TxAbort_wb)
606
    BlockingTxStatusWrite <=#Tp 1'b0;
607
end
608
 
609
 
610
// TxBDRead state is activated only once. 
611
always @ (posedge WB_CLK_I or posedge Reset)
612
begin
613
  if(Reset)
614
    BlockingTxBDRead <=#Tp 1'b0;
615
  else
616
  if(StartTxBDRead)
617
    BlockingTxBDRead <=#Tp 1'b1;
618
  else
619
  if(TxStartFrm_wb)
620
    BlockingTxBDRead <=#Tp 1'b0;
621
end
622
 
623
 
624
// Latching status from the tx buffer descriptor
625
// Data is avaliable one cycle after the access is started (at that time signal TxEn is not active)
626
always @ (posedge WB_CLK_I or posedge Reset)
627
begin
628
  if(Reset)
629
    TxStatus <=#Tp 4'h0;
630
  else
631
  if(TxEn & TxEn_q & TxBDRead)
632
    TxStatus <=#Tp ram_do[14:11];
633
end
634
 
635
reg ReadTxDataFromMemory;
636
wire WriteRxDataToMemory;
637
 
638
reg MasterWbTX;
639
reg MasterWbRX;
640
 
641
reg [31:0] m_wb_adr_o;
642
reg        m_wb_cyc_o;
643
reg        m_wb_stb_o;
644
reg        m_wb_we_o;
645
 
646
wire TxLengthEq0;
647
wire TxLengthLt4;
648
 
649
 
650
//Latching length from the buffer descriptor;
651
always @ (posedge WB_CLK_I or posedge Reset)
652
begin
653
  if(Reset)
654
    TxLength <=#Tp 16'h0;
655
  else
656
  if(TxEn & TxEn_q & TxBDRead)
657
    TxLength <=#Tp ram_do[31:16];
658
  else
659
  if(MasterWbTX & m_wb_ack_i)
660
    begin
661
      if(TxLengthLt4)
662
        TxLength <=#Tp 16'h0;
663
      else
664
        TxLength <=#Tp TxLength - 3'h4;    // Length is subtracted at the data request
665
    end
666
end
667
 
668
//Latching length from the buffer descriptor;
669
always @ (posedge WB_CLK_I or posedge Reset)
670
begin
671
  if(Reset)
672
    LatchedTxLength <=#Tp 16'h0;
673
  else
674
  if(TxEn & TxEn_q & TxBDRead)
675
    LatchedTxLength <=#Tp ram_do[31:16];
676
end
677
 
678
assign TxLengthEq0 = TxLength == 0;
679
assign TxLengthLt4 = TxLength < 4;
680
 
681
 
682
reg BlockingIncrementTxPointer;
683
 
684
reg [31:0] TxPointer;
685
reg [31:0] RxPointer;
686
 
687
//Latching Tx buffer pointer from buffer descriptor;
688
always @ (posedge WB_CLK_I or posedge Reset)
689
begin
690
  if(Reset)
691
    TxPointer <=#Tp 0;
692
  else
693
  if(TxEn & TxEn_q & TxPointerRead)
694
    TxPointer <=#Tp ram_do;
695
  else
696
  if(MasterWbTX & ~BlockingIncrementTxPointer)
697
    TxPointer <=#Tp TxPointer + 4;    // Pointer increment
698
end
699
 
700
wire MasterAccessFinished;
701
 
702
 
703
//Latching Tx buffer pointer from buffer descriptor;
704
always @ (posedge WB_CLK_I or posedge Reset)
705
begin
706
  if(Reset)
707
    BlockingIncrementTxPointer <=#Tp 0;
708
  else
709
  if(MasterAccessFinished)
710
    BlockingIncrementTxPointer <=#Tp 0;
711
  else
712
  if(MasterWbTX)
713
    BlockingIncrementTxPointer <=#Tp 1'b1;
714
end
715
 
716
 
717
wire TxBufferAlmostFull;
718
wire TxBufferFull;
719
wire TxBufferEmpty;
720
wire TxBufferAlmostEmpty;
721
wire ResetReadTxDataFromMemory;
722
wire SetReadTxDataFromMemory;
723
 
724
reg BlockReadTxDataFromMemory;
725
 
726
assign ResetReadTxDataFromMemory = (TxLengthEq0) | TxAbortPulse | TxRetryPulse;
727
assign SetReadTxDataFromMemory = TxEn & TxEn_q & TxPointerRead;
728
 
729
always @ (posedge WB_CLK_I or posedge Reset)
730
begin
731
  if(Reset)
732
    ReadTxDataFromMemory <=#Tp 1'b0;
733
  else
734
  if(ResetReadTxDataFromMemory)
735
    ReadTxDataFromMemory <=#Tp 1'b0;
736
  else
737
  if(SetReadTxDataFromMemory)
738
    ReadTxDataFromMemory <=#Tp 1'b1;
739
end
740
 
741
wire ReadTxDataFromMemory_2 = ReadTxDataFromMemory & ~BlockReadTxDataFromMemory;
742
wire [31:0] TxData_wb;
743
wire ReadTxDataFromFifo_wb;
744
 
745
always @ (posedge WB_CLK_I or posedge Reset)
746
begin
747
  if(Reset)
748
    BlockReadTxDataFromMemory <=#Tp 1'b0;
749
  else
750
  if(ReadTxDataFromFifo_wb)
751
    BlockReadTxDataFromMemory <=#Tp 1'b0;
752
  else
753
  if((TxBufferAlmostFull | TxLength <= 4)& MasterWbTX)
754
    BlockReadTxDataFromMemory <=#Tp 1'b1;
755
end
756
 
757
 
758
 
759
assign MasterAccessFinished = m_wb_ack_i | m_wb_err_i;
760
 
761
assign m_wb_sel_o = 4'hf;
762
 
763
 
764
// Enabling master wishbone access to the memory for two devices TX and RX.
765
always @ (posedge WB_CLK_I or posedge Reset)
766
begin
767
  if(Reset)
768
    begin
769
      MasterWbTX <=#Tp 1'b0;
770
      MasterWbRX <=#Tp 1'b0;
771
      m_wb_adr_o <=#Tp 32'h0;
772
      m_wb_cyc_o <=#Tp 1'b0;
773
      m_wb_stb_o <=#Tp 1'b0;
774
      m_wb_we_o  <=#Tp 1'b0;
775
    end
776
  else
777
    begin
778
      // Switching between two stages depends on enable signals
779
      case ({MasterWbTX, MasterWbRX, ReadTxDataFromMemory_2, WriteRxDataToMemory, MasterAccessFinished})
780
        5'b00_01_0, 5'b00_11_0 :
781
          begin
782
            MasterWbTX <=#Tp 1'b0;  // idle and master write is needed (data write to rx buffer)
783
            MasterWbRX <=#Tp 1'b1;
784
            m_wb_adr_o <=#Tp RxPointer;
785
            m_wb_cyc_o <=#Tp 1'b1;
786
            m_wb_stb_o <=#Tp 1'b1;
787
            m_wb_we_o  <=#Tp 1'b1;
788
          end
789
        5'b00_10_0, 5'b00_10_1 :
790
          begin
791
            MasterWbTX <=#Tp 1'b1;  // idle and master read is needed (data read from tx buffer)
792
            MasterWbRX <=#Tp 1'b0;
793
            m_wb_adr_o <=#Tp TxPointer;
794
            m_wb_cyc_o <=#Tp 1'b1;
795
            m_wb_stb_o <=#Tp 1'b1;
796
            m_wb_we_o  <=#Tp 1'b0;
797
          end
798
        5'b10_10_1 :
799
          begin
800
            MasterWbTX <=#Tp 1'b1;  // master read and master read is needed (data read from tx buffer)
801
            MasterWbRX <=#Tp 1'b0;
802
            m_wb_adr_o <=#Tp TxPointer;
803
            m_wb_cyc_o <=#Tp 1'b1;
804
            m_wb_stb_o <=#Tp 1'b1;
805
            m_wb_we_o  <=#Tp 1'b0;
806
          end
807
        5'b01_01_1 :
808
          begin
809
            MasterWbTX <=#Tp 1'b0;  // master write and master write is needed (data write to rx buffer)
810
            MasterWbRX <=#Tp 1'b1;
811
            m_wb_adr_o <=#Tp RxPointer;
812
            m_wb_we_o  <=#Tp 1'b1;
813
          end
814
        5'b10_01_1, 5'b10_11_1 :
815
          begin
816
            MasterWbTX <=#Tp 1'b0;  // master read and master write is needed (data write to rx buffer)
817
            MasterWbRX <=#Tp 1'b1;
818
            m_wb_adr_o <=#Tp RxPointer;
819
            m_wb_we_o  <=#Tp 1'b1;
820
          end
821
        5'b01_10_1, 5'b01_11_1 :
822
          begin
823
            MasterWbTX <=#Tp 1'b1;  // master write and master read is needed (data read from tx buffer)
824
            MasterWbRX <=#Tp 1'b0;
825
            m_wb_adr_o <=#Tp TxPointer;
826
            m_wb_we_o  <=#Tp 1'b0;
827
          end
828
        5'b10_00_1, 5'b01_00_1 :
829
          begin
830
            MasterWbTX <=#Tp 1'b0;  // whatever and no master read or write is needed (ack or err comes finishing previous access)
831
            MasterWbRX <=#Tp 1'b0;
832
            m_wb_cyc_o <=#Tp 1'b0;
833
            m_wb_stb_o <=#Tp 1'b0;
834
          end
835
        default:                            // Don't touch
836
          begin
837
            MasterWbTX <=#Tp MasterWbTX;
838
            MasterWbRX <=#Tp MasterWbRX;
839
            m_wb_cyc_o <=#Tp m_wb_cyc_o;
840
            m_wb_stb_o <=#Tp m_wb_stb_o;
841
          end
842
      endcase
843
    end
844
end
845
 
846
wire TxFifoClear;
847
assign TxFifoClear = (TxAbort_wb | TxRetry_wb) & ~TxBDReady;
848
 
849
eth_fifo #(`TX_FIFO_DATA_WIDTH, `TX_FIFO_DEPTH, `TX_FIFO_CNT_WIDTH)
850
tx_fifo (.data_in(m_wb_dat_i),               .data_out(TxData_wb),            .clk(WB_CLK_I),
851
         .reset(Reset),                      .write(MasterWbTX & m_wb_ack_i), .read(ReadTxDataFromFifo_wb),
852
         .clear(TxFifoClear),                .full(TxBufferFull),             .almost_full(TxBufferAlmostFull),
853
         .almost_empty(TxBufferAlmostEmpty), .empty(TxBufferEmpty));
854
 
855
 
856
reg StartOccured;
857
reg TxStartFrm_sync1;
858
reg TxStartFrm_sync2;
859
reg TxStartFrm_syncb1;
860
reg TxStartFrm_syncb2;
861
 
862
 
863
 
864
// Start: Generation of the TxStartFrm_wb which is then synchronized to the MTxClk
865
always @ (posedge WB_CLK_I or posedge Reset)
866
begin
867
  if(Reset)
868
    TxStartFrm_wb <=#Tp 1'b0;
869
  else
870
  if(TxBDReady & ~StartOccured & (TxBufferFull | TxLengthEq0))
871
    TxStartFrm_wb <=#Tp 1'b1;
872
  else
873
  if(TxStartFrm_syncb2)
874
    TxStartFrm_wb <=#Tp 1'b0;
875
end
876
 
877
// StartOccured: TxStartFrm_wb occurs only ones at the beginning. Then it's blocked.
878
always @ (posedge WB_CLK_I or posedge Reset)
879
begin
880
  if(Reset)
881
    StartOccured <=#Tp 1'b0;
882
  else
883
  if(TxStartFrm_wb)
884
    StartOccured <=#Tp 1'b1;
885
  else
886
  if(ResetTxBDReady)
887
    StartOccured <=#Tp 1'b0;
888
end
889
 
890
// Synchronizing TxStartFrm_wb to MTxClk
891
always @ (posedge MTxClk or posedge Reset)
892
begin
893
  if(Reset)
894
    TxStartFrm_sync1 <=#Tp 1'b0;
895
  else
896
    TxStartFrm_sync1 <=#Tp TxStartFrm_wb;
897
end
898
 
899
always @ (posedge MTxClk or posedge Reset)
900
begin
901
  if(Reset)
902
    TxStartFrm_sync2 <=#Tp 1'b0;
903
  else
904
    TxStartFrm_sync2 <=#Tp TxStartFrm_sync1;
905
end
906
 
907
always @ (posedge WB_CLK_I or posedge Reset)
908
begin
909
  if(Reset)
910
    TxStartFrm_syncb1 <=#Tp 1'b0;
911
  else
912
    TxStartFrm_syncb1 <=#Tp TxStartFrm_sync2;
913
end
914
 
915
always @ (posedge WB_CLK_I or posedge Reset)
916
begin
917
  if(Reset)
918
    TxStartFrm_syncb2 <=#Tp 1'b0;
919
  else
920
    TxStartFrm_syncb2 <=#Tp TxStartFrm_syncb1;
921
end
922
 
923
always @ (posedge MTxClk or posedge Reset)
924
begin
925
  if(Reset)
926
    TxStartFrm <=#Tp 1'b0;
927
  else
928
  if(TxStartFrm_sync2)
929
    TxStartFrm <=#Tp 1'b1;
930
  else
931
  if(TxUsedData_q | ~TxStartFrm_sync2 & (TxRetry | TxAbort))
932
    TxStartFrm <=#Tp 1'b0;
933
end
934
// End: Generation of the TxStartFrm_wb which is then synchronized to the MTxClk
935
 
936
 
937
// TxEndFrm_wb: indicator of the end of frame
938
always @ (posedge WB_CLK_I or posedge Reset)
939
begin
940
  if(Reset)
941
    TxEndFrm_wb <=#Tp 1'b0;
942
  else
943
  if(TxLengthLt4 & TxBufferAlmostEmpty & TxUsedData)
944
    TxEndFrm_wb <=#Tp 1'b1;
945
  else
946
  if(TxRetryPulse | TxDonePulse | TxAbortPulse)
947
    TxEndFrm_wb <=#Tp 1'b0;
948
end
949
 
950
 
951
// Marks which bytes are valid within the word.
952
assign TxValidBytes = TxLengthLt4 ? TxLength[1:0] : 2'b0;
953
 
954
reg LatchValidBytes;
955
reg LatchValidBytes_q;
956
 
957
always @ (posedge WB_CLK_I or posedge Reset)
958
begin
959
  if(Reset)
960
    LatchValidBytes <=#Tp 1'b0;
961
  else
962
  if(TxLengthLt4 & TxBDReady)
963
    LatchValidBytes <=#Tp 1'b1;
964
  else
965
    LatchValidBytes <=#Tp 1'b0;
966
end
967
 
968
always @ (posedge WB_CLK_I or posedge Reset)
969
begin
970
  if(Reset)
971
    LatchValidBytes_q <=#Tp 1'b0;
972
  else
973
    LatchValidBytes_q <=#Tp LatchValidBytes;
974
end
975
 
976
 
977
// Latching valid bytes
978
always @ (posedge WB_CLK_I or posedge Reset)
979
begin
980
  if(Reset)
981
    TxValidBytesLatched <=#Tp 2'h0;
982
  else
983
  if(LatchValidBytes & ~LatchValidBytes_q)
984
    TxValidBytesLatched <=#Tp TxValidBytes;
985
  else
986
  if(TxRetryPulse | TxDonePulse | TxAbortPulse)
987
    TxValidBytesLatched <=#Tp 2'h0;
988
end
989
 
990
 
991
assign TxIRQEn          = TxStatus[14];
992
assign WrapTxStatusBit  = TxStatus[13];
993
assign PerPacketPad     = TxStatus[12];
994
assign PerPacketCrcEn   = TxStatus[11];
995
 
996
 
997
assign RxIRQEn         = RxStatus[14];
998
assign WrapRxStatusBit = RxStatus[13];
999
 
1000
 
1001
// Temporary Tx and Rx buffer descriptor address 
1002
assign TempTxBDAddress[7:0] = {8{ TxStatusWrite     & ~WrapTxStatusBit}} & (TxBDAddress + 2'h2) ; // Tx BD increment or wrap (last BD)
1003
assign TempRxBDAddress[7:0] = {8{ WrapRxStatusBit}} & (r_TxBDNum)       | // Using first Rx BD
1004
                              {8{~WrapRxStatusBit}} & (RxBDAddress + 2'h2) ; // Using next Rx BD (incremenrement address)
1005
 
1006
 
1007
// Latching Tx buffer descriptor address
1008
always @ (posedge WB_CLK_I or posedge Reset)
1009
begin
1010
  if(Reset)
1011
    TxBDAddress <=#Tp 8'h0;
1012
  else
1013
  if(TxStatusWrite)
1014
    TxBDAddress <=#Tp TempTxBDAddress;
1015
end
1016
 
1017
 
1018
// Latching Rx buffer descriptor address
1019
always @ (posedge WB_CLK_I or posedge Reset)
1020
begin
1021
  if(Reset)
1022
    RxBDAddress <=#Tp 8'h0;
1023
  else
1024
  if(TX_BD_NUM_Wr)                        // When r_TxBDNum is updated, RxBDAddress is also
1025
    RxBDAddress <=#Tp WB_DAT_I[7:0];
1026
  else
1027
  if(RxStatusWrite)
1028
    RxBDAddress <=#Tp TempRxBDAddress;
1029
end
1030
 
1031
wire [8:0] TxStatusInLatched = {TxUnderRun, RetryCntLatched[3:0], RetryLimit, LateCollLatched, DeferLatched, CarrierSenseLost};
1032
 
1033
assign RxBDDataIn = {LatchedRxLength, 1'b0, RxStatus, 6'h0, RxStatusInLatched};
1034
assign TxBDDataIn = {LatchedTxLength, 1'b0, TxStatus, 2'h0, TxStatusInLatched};
1035
 
1036
 
1037
// Signals used for various purposes
1038
assign TxRetryPulse   = TxRetry_wb   & ~TxRetry_wb_q;
1039
assign TxDonePulse    = TxDone_wb    & ~TxDone_wb_q;
1040
assign TxAbortPulse   = TxAbort_wb   & ~TxAbort_wb_q;
1041
 
1042
 
1043
// assign ClearTxBDReady = ~TxUsedData & TxUsedData_q;
1044
 
1045
assign TPauseRq = 0; // igor !!! v koncni fazi mora tu biti pause request
1046
assign TxPauseTV[15:0] = TxLength[15:0]; // igor !!! v koncni fazi mora tu biti pause request
1047
 
1048
 
1049
// Generating delayed signals
1050
always @ (posedge MTxClk or posedge Reset)
1051
begin
1052
  if(Reset)
1053
    begin
1054
      TxAbort_q      <=#Tp 1'b0;
1055
      TxRetry_q      <=#Tp 1'b0;
1056
      TxUsedData_q   <=#Tp 1'b0;
1057
    end
1058
  else
1059
    begin
1060
      TxAbort_q      <=#Tp TxAbort;
1061
      TxRetry_q      <=#Tp TxRetry;
1062
      TxUsedData_q   <=#Tp TxUsedData;
1063
    end
1064
end
1065
 
1066
// Generating delayed signals
1067
always @ (posedge WB_CLK_I or posedge Reset)
1068
begin
1069
  if(Reset)
1070
    begin
1071
      TxDone_wb_q   <=#Tp 1'b0;
1072
      TxAbort_wb_q  <=#Tp 1'b0;
1073
      TxRetry_wb_q  <=#Tp 1'b0;
1074
    end
1075
  else
1076
    begin
1077
      TxDone_wb_q   <=#Tp TxDone_wb;
1078
      TxAbort_wb_q  <=#Tp TxAbort_wb;
1079
      TxRetry_wb_q  <=#Tp TxRetry_wb;
1080
    end
1081
end
1082
 
1083
 
1084
// Sinchronizing and evaluating tx data
1085
//assign SetGotData = (TxStartFrm_wb | NewTxDataAvaliable_wb & ~TxAbort_wb & ~TxRetry_wb) & ~WB_CLK_I;
1086
assign SetGotData = (TxStartFrm_wb); // igor namesto zgornje
1087
 
1088
// Evaluating data. If abort or retry occured meanwhile than data is ignored.
1089
//assign GotDataEvaluate = GotDataSync3 & ~GotData & (~TxRetry & ~TxAbort | (TxRetry | TxAbort) & (TxStartFrm));
1090
assign GotDataEvaluate = (~TxRetry & ~TxAbort | (TxRetry | TxAbort) & (TxStartFrm));
1091
 
1092
 
1093
// Indication of the last word
1094
always @ (posedge MTxClk or posedge Reset)
1095
begin
1096
  if(Reset)
1097
    LastWord <=#Tp 1'b0;
1098
  else
1099
  if((TxEndFrm | TxAbort | TxRetry) & Flop)
1100
    LastWord <=#Tp 1'b0;
1101
  else
1102
  if(TxUsedData & Flop & TxByteCnt == 2'h3)
1103
    LastWord <=#Tp TxEndFrm_wb;
1104
end
1105
 
1106
 
1107
// Tx end frame generation
1108
always @ (posedge MTxClk or posedge Reset)
1109
begin
1110
  if(Reset)
1111
    TxEndFrm <=#Tp 1'b0;
1112
  else
1113
  if(Flop & TxEndFrm | TxAbort | TxRetry_q)     // igor !!! zakaj je tu TxRetry_q ?
1114
    TxEndFrm <=#Tp 1'b0;
1115
  else
1116
  if(Flop & LastWord)
1117
    begin
1118
      case (TxValidBytesLatched)
1119
        1 : TxEndFrm <=#Tp TxByteCnt == 2'h0;
1120
        2 : TxEndFrm <=#Tp TxByteCnt == 2'h1;
1121
        3 : TxEndFrm <=#Tp TxByteCnt == 2'h2;
1122
 
1123
        default : TxEndFrm <=#Tp 1'b0;
1124
      endcase
1125
    end
1126
end
1127
 
1128
 
1129
// Tx data selection (latching)
1130
always @ (posedge MTxClk or posedge Reset)
1131
begin
1132
  if(Reset)
1133
    TxData <=#Tp 8'h0;
1134
  else
1135
  if(TxStartFrm_sync2 & ~TxStartFrm)
1136
    TxData <=#Tp TxData_wb[7:0];
1137
  else
1138
  if(TxUsedData & Flop)
1139
    begin
1140
      case(TxByteCnt)
1141
//        0 : TxData <=#Tp TxDataLatched[7:0];
1142
//        1 : TxData <=#Tp TxDataLatched[15:8];
1143
//        2 : TxData <=#Tp TxDataLatched[23:16];
1144
//        3 : TxData <=#Tp TxDataLatched[31:24];
1145
 
1146
        1 : TxData <=#Tp TxDataLatched[23:16];
1147
        2 : TxData <=#Tp TxDataLatched[15:8];
1148
        3 : TxData <=#Tp TxDataLatched[7:0];
1149
      endcase
1150
    end
1151
end
1152
 
1153
 
1154
// Latching tx data
1155
always @ (posedge MTxClk or posedge Reset)
1156
begin
1157
  if(Reset)
1158
    TxDataLatched[31:0] <=#Tp 32'h0;
1159
  else
1160
  if(TxStartFrm_sync2 & ~TxStartFrm | TxUsedData & Flop & TxByteCnt == 2'h3)
1161
    TxDataLatched[31:0] <=#Tp TxData_wb[31:0];
1162
end
1163
 
1164
 
1165
// Tx under run
1166
always @ (posedge WB_CLK_I or posedge Reset)
1167
begin
1168
  if(Reset)
1169
    TxUnderRun_wb <=#Tp 1'b0;
1170
  else
1171
  if(TxAbortPulse)
1172
    TxUnderRun_wb <=#Tp 1'b0;
1173
  else
1174
  if(TxBufferEmpty & ReadTxDataFromFifo_wb)
1175
    TxUnderRun_wb <=#Tp 1'b1;
1176
end
1177
 
1178
 
1179
// Tx under run
1180
always @ (posedge MTxClk or posedge Reset)
1181
begin
1182
  if(Reset)
1183
    TxUnderRun <=#Tp 1'b0;
1184
  else
1185
  if(TxUnderRun_wb)
1186
    TxUnderRun <=#Tp 1'b1;
1187
  else
1188
  if(BlockingTxStatusWrite)
1189
    TxUnderRun <=#Tp 1'b0;
1190
end
1191
 
1192
 
1193
 
1194
// Tx Byte counter
1195
always @ (posedge MTxClk or posedge Reset)
1196
begin
1197
  if(Reset)
1198
    TxByteCnt <=#Tp 2'h0;
1199
  else
1200
  if(TxAbort_q | TxRetry_q)
1201
    TxByteCnt <=#Tp 2'h0;
1202
  else
1203
  if(TxStartFrm & ~TxUsedData)
1204
    TxByteCnt <=#Tp 2'h1;
1205
  else
1206
  if(TxUsedData & Flop)
1207
    TxByteCnt <=#Tp TxByteCnt + 1;
1208
end
1209
 
1210
 
1211
// Start: Generation of the ReadTxDataFromFifo_tck signal and synchronization to the WB_CLK_I
1212
reg ReadTxDataFromFifo_sync1;
1213
reg ReadTxDataFromFifo_sync2;
1214
reg ReadTxDataFromFifo_sync3;
1215
reg ReadTxDataFromFifo_syncb1;
1216
reg ReadTxDataFromFifo_syncb2;
1217
 
1218
 
1219
always @ (posedge MTxClk or posedge Reset)
1220
begin
1221
  if(Reset)
1222
    ReadTxDataFromFifo_tck <=#Tp 1'b0;
1223
  else
1224
  if(ReadTxDataFromFifo_syncb2)
1225
    ReadTxDataFromFifo_tck <=#Tp 1'b0;
1226
  else
1227
  if(TxStartFrm_sync2 & ~TxStartFrm | TxUsedData & Flop & TxByteCnt == 2'h3 & ~LastWord)
1228
     ReadTxDataFromFifo_tck <=#Tp 1'b1;
1229
end
1230
 
1231
// Synchronizing TxStartFrm_wb to MTxClk
1232
always @ (posedge WB_CLK_I or posedge Reset)
1233
begin
1234
  if(Reset)
1235
    ReadTxDataFromFifo_sync1 <=#Tp 1'b0;
1236
  else
1237
    ReadTxDataFromFifo_sync1 <=#Tp ReadTxDataFromFifo_tck;
1238
end
1239
 
1240
always @ (posedge WB_CLK_I or posedge Reset)
1241
begin
1242
  if(Reset)
1243
    ReadTxDataFromFifo_sync2 <=#Tp 1'b0;
1244
  else
1245
    ReadTxDataFromFifo_sync2 <=#Tp ReadTxDataFromFifo_sync1;
1246
end
1247
 
1248
always @ (posedge MTxClk or posedge Reset)
1249
begin
1250
  if(Reset)
1251
    ReadTxDataFromFifo_syncb1 <=#Tp 1'b0;
1252
  else
1253
    ReadTxDataFromFifo_syncb1 <=#Tp ReadTxDataFromFifo_sync2;
1254
end
1255
 
1256
always @ (posedge MTxClk or posedge Reset)
1257
begin
1258
  if(Reset)
1259
    ReadTxDataFromFifo_syncb2 <=#Tp 1'b0;
1260
  else
1261
    ReadTxDataFromFifo_syncb2 <=#Tp ReadTxDataFromFifo_syncb1;
1262
end
1263
 
1264
always @ (posedge WB_CLK_I or posedge Reset)
1265
begin
1266
  if(Reset)
1267
    ReadTxDataFromFifo_sync3 <=#Tp 1'b0;
1268
  else
1269
    ReadTxDataFromFifo_sync3 <=#Tp ReadTxDataFromFifo_sync2;
1270
end
1271
 
1272
assign ReadTxDataFromFifo_wb = ReadTxDataFromFifo_sync2 & ~ReadTxDataFromFifo_sync3;
1273
// End: Generation of the ReadTxDataFromFifo_tck signal and synchronization to the WB_CLK_I
1274
 
1275
 
1276
// Synchronizing TxRetry signal (synchronized to WISHBONE clock)
1277
always @ (posedge WB_CLK_I or posedge Reset)
1278
begin
1279
  if(Reset)
1280
    TxRetrySync1 <=#Tp 1'b0;
1281
  else
1282
    TxRetrySync1 <=#Tp TxRetry;
1283
end
1284
 
1285
always @ (posedge WB_CLK_I or posedge Reset)
1286
begin
1287
  if(Reset)
1288
    TxRetry_wb <=#Tp 1'b0;
1289
  else
1290
    TxRetry_wb <=#Tp TxRetrySync1;
1291
end
1292
 
1293
 
1294
// Synchronized TxDone_wb signal (synchronized to WISHBONE clock)
1295
always @ (posedge WB_CLK_I or posedge Reset)
1296
begin
1297
  if(Reset)
1298
    TxDoneSync1 <=#Tp 1'b0;
1299
  else
1300
    TxDoneSync1 <=#Tp TxDone;
1301
end
1302
 
1303
always @ (posedge WB_CLK_I or posedge Reset)
1304
begin
1305
  if(Reset)
1306
    TxDone_wb <=#Tp 1'b0;
1307
  else
1308
    TxDone_wb <=#Tp TxDoneSync1;
1309
end
1310
 
1311
// Synchronizing TxAbort signal (synchronized to WISHBONE clock)
1312
always @ (posedge WB_CLK_I or posedge Reset)
1313
begin
1314
  if(Reset)
1315
    TxAbortSync1 <=#Tp 1'b0;
1316
  else
1317
    TxAbortSync1 <=#Tp TxAbort;
1318
end
1319
 
1320
always @ (posedge WB_CLK_I or posedge Reset)
1321
begin
1322
  if(Reset)
1323
    TxAbort_wb <=#Tp 1'b0;
1324
  else
1325
    TxAbort_wb <=#Tp TxAbortSync1;
1326
end
1327
 
1328
 
1329
assign StartRxBDRead = RxStatusWrite | RxAbort;
1330
 
1331
// Reading the Rx buffer descriptor
1332
always @ (posedge WB_CLK_I or posedge Reset)
1333
begin
1334
  if(Reset)
1335
    RxBDRead <=#Tp 1'b1;
1336
  else
1337
  if(StartRxBDRead)
1338
    RxBDRead <=#Tp 1'b1;
1339
  else
1340
  if(RxBDReady)
1341
    RxBDRead <=#Tp 1'b0;
1342
end
1343
 
1344
 
1345
// Reading of the next receive buffer descriptor starts after reception status is
1346
// written to the previous one.
1347
 
1348
// Latching READY status of the Rx buffer descriptor
1349
always @ (posedge WB_CLK_I or posedge Reset)
1350
begin
1351
  if(Reset)
1352
    RxBDReady <=#Tp 1'b0;
1353
  else
1354
  if(RxEn & RxEn_q & RxBDRead)
1355
    RxBDReady <=#Tp ram_do[15]; // RxBDReady is sampled only once at the beginning
1356
  else
1357
  if(ShiftEnded | RxAbort)
1358
    RxBDReady <=#Tp 1'b0;
1359
end
1360
 
1361
// Latching Rx buffer descriptor status
1362
// Data is avaliable one cycle after the access is started (at that time signal RxEn is not active)
1363
always @ (posedge WB_CLK_I or posedge Reset)
1364
begin
1365
  if(Reset)
1366
    RxStatus <=#Tp 2'h0;
1367
  else
1368
  if(RxEn & RxEn_q & RxBDRead)
1369
    RxStatus <=#Tp ram_do[14:13];
1370
end
1371
 
1372
 
1373
 
1374
 
1375
// Reading Rx BD pointer
1376
 
1377
 
1378
assign StartRxPointerRead = RxBDRead & RxBDReady;
1379
 
1380
// Reading Tx BD Pointer
1381
always @ (posedge WB_CLK_I or posedge Reset)
1382
begin
1383
  if(Reset)
1384
    RxPointerRead <=#Tp 1'b0;
1385
  else
1386
  if(StartRxPointerRead)
1387
    RxPointerRead <=#Tp 1'b1;
1388
  else
1389
  if(RxEn_q)
1390
    RxPointerRead <=#Tp 1'b0;
1391
end
1392
 
1393
reg BlockingIncrementRxPointer;
1394
//Latching Rx buffer pointer from buffer descriptor;
1395
always @ (posedge WB_CLK_I or posedge Reset)
1396
begin
1397
  if(Reset)
1398
    RxPointer <=#Tp 32'h0;
1399
  else
1400
  if(RxEn & RxEn_q & RxPointerRead)
1401
    RxPointer <=#Tp ram_do;
1402
  else
1403
  if(MasterWbRX & ~BlockingIncrementRxPointer)
1404
    RxPointer <=#Tp RxPointer + 4;    // Pointer increment
1405
end
1406
 
1407
 
1408
always @ (posedge WB_CLK_I or posedge Reset)
1409
begin
1410
  if(Reset)
1411
    BlockingIncrementRxPointer <=#Tp 0;
1412
  else
1413
  if(MasterAccessFinished)
1414
    BlockingIncrementRxPointer <=#Tp 0;
1415
  else
1416
  if(MasterWbRX)
1417
    BlockingIncrementRxPointer <=#Tp 1'b1;
1418
end
1419
 
1420
 
1421
always @ (posedge WB_CLK_I or posedge Reset)
1422
begin
1423
  if(Reset)
1424
    RxEn_needed <=#Tp 1'b0;
1425
  else
1426
  if(~RxBDReady & r_RxEn & WbEn & ~WbEn_q)
1427
    RxEn_needed <=#Tp 1'b1;
1428
  else
1429
  if(RxPointerRead & RxEn & RxEn_q)
1430
    RxEn_needed <=#Tp 1'b0;
1431
end
1432
 
1433
 
1434
// Reception status is written back to the buffer descriptor after the end of frame is detected.
1435
assign RxStatusWrite = ShiftEnded & RxEn & RxEn_q;
1436
 
1437
reg RxStatusWriteLatched;
1438
reg RxStatusWrite_rck;
1439
 
1440
always @ (posedge WB_CLK_I or posedge Reset)
1441
begin
1442
  if(Reset)
1443
    RxStatusWriteLatched <=#Tp 1'b0;
1444
  else
1445
  if(RxStatusWrite)
1446
    RxStatusWriteLatched <=#Tp 1'b1;
1447
  else
1448
  if(RxStatusWrite_rck)
1449
    RxStatusWriteLatched <=#Tp 1'b0;
1450
end
1451
 
1452
 
1453
always @ (posedge MRxClk or posedge Reset)
1454
begin
1455
  if(Reset)
1456
    RxStatusWrite_rck <=#Tp 1'b0;
1457
  else
1458
    RxStatusWrite_rck <=#Tp RxStatusWriteLatched;
1459
end
1460
 
1461
 
1462
reg RxEnableWindow;
1463
 
1464
// Indicating that last byte is being reveived
1465
always @ (posedge MRxClk or posedge Reset)
1466
begin
1467
  if(Reset)
1468
    LastByteIn <=#Tp 1'b0;
1469
  else
1470
  if(ShiftWillEnd & (&RxByteCnt) | RxAbort)
1471
    LastByteIn <=#Tp 1'b0;
1472
  else
1473
  if(RxValid & RxBDReady & RxEndFrm & ~(&RxByteCnt) & RxEnableWindow)
1474
    LastByteIn <=#Tp 1'b1;
1475
end
1476
 
1477
reg ShiftEnded_tck;
1478
reg ShiftEndedSync1;
1479
reg ShiftEndedSync2;
1480
wire StartShiftWillEnd;
1481
assign StartShiftWillEnd = LastByteIn & (&RxByteCnt) | RxValid & RxEndFrm & (&RxByteCnt) & RxEnableWindow;
1482
 
1483
// Indicating that data reception will end
1484
always @ (posedge MRxClk or posedge Reset)
1485
begin
1486
  if(Reset)
1487
    ShiftWillEnd <=#Tp 1'b0;
1488
  else
1489
  if(ShiftEnded_tck | RxAbort)
1490
    ShiftWillEnd <=#Tp 1'b0;
1491
  else
1492
  if(StartShiftWillEnd)
1493
    ShiftWillEnd <=#Tp 1'b1;
1494
end
1495
 
1496
 
1497
 
1498
// Receive byte counter
1499
always @ (posedge MRxClk or posedge Reset)
1500
begin
1501
  if(Reset)
1502
    RxByteCnt <=#Tp 2'h0;
1503
  else
1504
  if(ShiftEnded_tck | RxAbort)
1505
    RxByteCnt <=#Tp 2'h0;
1506
  else
1507
  if(RxValid & (RxStartFrm | RxEnableWindow) & RxBDReady | LastByteIn)
1508
    RxByteCnt <=#Tp RxByteCnt + 1'b1;
1509
end
1510
 
1511
 
1512
// Indicates how many bytes are valid within the last word
1513
always @ (posedge MRxClk or posedge Reset)
1514
begin
1515
  if(Reset)
1516
    RxValidBytes <=#Tp 2'h1;
1517
  else
1518
  if(ShiftEnded_tck | RxAbort)
1519
    RxValidBytes <=#Tp 2'h1;
1520
  else
1521
  if(RxValid & ~LastByteIn & ~RxStartFrm & RxEnableWindow)
1522
    RxValidBytes <=#Tp RxValidBytes + 1;
1523
end
1524
 
1525
 
1526
always @ (posedge MRxClk or posedge Reset)
1527
begin
1528
  if(Reset)
1529
    RxDataLatched1       <=#Tp 24'h0;
1530
  else
1531
  if(RxValid & RxBDReady & ~LastByteIn & (RxStartFrm | RxEnableWindow))
1532
    begin
1533
      case(RxByteCnt)     // synopsys parallel_case
1534
//        2'h0:        RxDataLatched1[7:0]   <=#Tp RxData;
1535
//        2'h1:        RxDataLatched1[15:8]  <=#Tp RxData;
1536
//        2'h2:        RxDataLatched1[23:16] <=#Tp RxData;
1537
//        2'h3:        RxDataLatched1        <=#Tp RxDataLatched1;
1538
        2'h0:        RxDataLatched1[31:24] <=#Tp RxData;            // Big Endian Byte Ordering
1539
        2'h1:        RxDataLatched1[23:16] <=#Tp RxData;
1540
        2'h2:        RxDataLatched1[15:8]  <=#Tp RxData;
1541
        2'h3:        RxDataLatched1        <=#Tp RxDataLatched1;
1542
      endcase
1543
    end
1544
end
1545
 
1546
wire SetWriteRxDataToFifo;
1547
 
1548
// Assembling data that will be written to the rx_fifo
1549
always @ (posedge MRxClk or posedge Reset)
1550
begin
1551
  if(Reset)
1552
    RxDataLatched2 <=#Tp 32'h0;
1553
  else
1554
  if(SetWriteRxDataToFifo & ~ShiftWillEnd)
1555
//    RxDataLatched2 <=#Tp {RxData, RxDataLatched1[23:0]};
1556
    RxDataLatched2 <=#Tp {RxDataLatched1[31:8], RxData};              // Big Endian Byte Ordering
1557
  else
1558
  if(SetWriteRxDataToFifo & ShiftWillEnd)
1559
    case(RxValidBytes)
1560
//      0 : RxDataLatched2 <=#Tp {RxData, RxDataLatched1[23:0]};
1561
//      1 : RxDataLatched2 <=#Tp { 24'h0, RxDataLatched1[7:0]};
1562
//      2 : RxDataLatched2 <=#Tp { 16'h0, RxDataLatched1[15:0]};
1563
//      3 : RxDataLatched2 <=#Tp {  8'h0, RxDataLatched1[23:0]};
1564
 
1565
      1 : RxDataLatched2 <=#Tp {RxDataLatched1[31:24], 24'h0};
1566
      2 : RxDataLatched2 <=#Tp {RxDataLatched1[31:16], 16'h0};
1567
      3 : RxDataLatched2 <=#Tp {RxDataLatched1[31:8],   8'h0};
1568
    endcase
1569
end
1570
 
1571
 
1572
reg WriteRxDataToFifoSync1;
1573
reg WriteRxDataToFifoSync2;
1574
 
1575
 
1576
// Indicating start of the reception process
1577
assign SetWriteRxDataToFifo = (RxValid & RxBDReady & ~RxStartFrm & RxEnableWindow & (&RxByteCnt)) | (ShiftWillEnd & LastByteIn & (&RxByteCnt));
1578
 
1579
always @ (posedge MRxClk or posedge Reset)
1580
begin
1581
  if(Reset)
1582
    WriteRxDataToFifo <=#Tp 1'b0;
1583
  else
1584
  if(SetWriteRxDataToFifo & ~RxAbort)
1585
    WriteRxDataToFifo <=#Tp 1'b1;
1586
  else
1587
  if(WriteRxDataToFifoSync1 | RxAbort)
1588
    WriteRxDataToFifo <=#Tp 1'b0;
1589
end
1590
 
1591
 
1592
 
1593
always @ (posedge WB_CLK_I or posedge Reset)
1594
begin
1595
  if(Reset)
1596
    WriteRxDataToFifoSync1 <=#Tp 1'b0;
1597
  else
1598
  if(WriteRxDataToFifo)
1599
    WriteRxDataToFifoSync1 <=#Tp 1'b1;
1600
  else
1601
    WriteRxDataToFifoSync1 <=#Tp 1'b0;
1602
end
1603
 
1604
always @ (posedge WB_CLK_I or posedge Reset)
1605
begin
1606
  if(Reset)
1607
    WriteRxDataToFifoSync2 <=#Tp 1'b0;
1608
  else
1609
    WriteRxDataToFifoSync2 <=#Tp WriteRxDataToFifoSync1;
1610
end
1611
 
1612
wire WriteRxDataToFifo_wb;
1613
assign WriteRxDataToFifo_wb = WriteRxDataToFifoSync1 & ~WriteRxDataToFifoSync2;
1614
 
1615
reg RxAbortSync1;
1616
reg RxAbortSync2;
1617
reg RxAbortSyncb1;
1618
reg RxAbortSyncb2;
1619
 
1620
 
1621
eth_fifo #(`RX_FIFO_DATA_WIDTH, `RX_FIFO_DEPTH, `RX_FIFO_CNT_WIDTH)
1622
rx_fifo (.data_in(RxDataLatched2),        .data_out(m_wb_dat_o),        .clk(WB_CLK_I),
1623
         .reset(Reset),                   .write(WriteRxDataToFifo_wb), .read(MasterWbRX & m_wb_ack_i),
1624
         .clear(RxAbortSync2),            .full(RxBufferFull),          .almost_full(RxBufferAlmostFull),
1625
         .almost_empty(RxBufferAlmostEmpty), .empty(RxBufferEmpty));
1626
 
1627
assign WriteRxDataToMemory = ~RxBufferEmpty & (~MasterWbRX | ~RxBufferAlmostEmpty);
1628
 
1629
 
1630
 
1631
// Generation of the end-of-frame signal
1632
always @ (posedge MRxClk or posedge Reset)
1633
begin
1634
  if(Reset)
1635
    ShiftEnded_tck <=#Tp 1'b0;
1636
  else
1637
  if(SetWriteRxDataToFifo & StartShiftWillEnd & ~RxAbort)
1638
    ShiftEnded_tck <=#Tp 1'b1;
1639
  else
1640
  if(ShiftEndedSync2 | RxAbort)
1641
    ShiftEnded_tck <=#Tp 1'b0;
1642
end
1643
 
1644
always @ (posedge WB_CLK_I or posedge Reset)
1645
begin
1646
  if(Reset)
1647
    ShiftEndedSync1 <=#Tp 1'b0;
1648
  else
1649
    ShiftEndedSync1 <=#Tp ShiftEnded_tck;
1650
end
1651
 
1652
always @ (posedge WB_CLK_I or posedge Reset)
1653
begin
1654
  if(Reset)
1655
    ShiftEndedSync2 <=#Tp 1'b0;
1656
  else
1657
  if(ShiftEndedSync1)
1658
    ShiftEndedSync2 <=#Tp 1'b1;
1659
  else
1660
  if(ShiftEnded)
1661
    ShiftEndedSync2 <=#Tp 1'b0;
1662
end
1663
 
1664
 
1665
// Generation of the end-of-frame signal
1666
always @ (posedge WB_CLK_I or posedge Reset)
1667
begin
1668
  if(Reset)
1669
    ShiftEnded <=#Tp 1'b0;
1670
  else
1671
  if(ShiftEndedSync2 & MasterWbRX & m_wb_ack_i & RxBufferAlmostEmpty)
1672
    ShiftEnded <=#Tp 1'b1;
1673
  else
1674
  if(RxStatusWrite)
1675
    ShiftEnded <=#Tp 1'b0;
1676
end
1677
 
1678
 
1679
// Generation of the end-of-frame signal
1680
always @ (posedge MRxClk or posedge Reset)
1681
begin
1682
  if(Reset)
1683
    RxEnableWindow <=#Tp 1'b0;
1684
  else
1685
  if(RxStartFrm)
1686
    RxEnableWindow <=#Tp 1'b1;
1687
  else
1688
  if(RxEndFrm | RxAbort)
1689
    RxEnableWindow <=#Tp 1'b0;
1690
end
1691
 
1692
 
1693
always @ (posedge WB_CLK_I or posedge Reset)
1694
begin
1695
  if(Reset)
1696
    RxAbortSync1 <=#Tp 1'b0;
1697
  else
1698
    RxAbortSync1 <=#Tp RxAbort;
1699
end
1700
 
1701
always @ (posedge WB_CLK_I or posedge Reset)
1702
begin
1703
  if(Reset)
1704
    RxAbortSync2 <=#Tp 1'b0;
1705
  else
1706
    RxAbortSync2 <=#Tp RxAbortSync1;
1707
end
1708
 
1709
always @ (posedge MRxClk or posedge Reset)
1710
begin
1711
  if(Reset)
1712
    RxAbortSyncb1 <=#Tp 1'b0;
1713
  else
1714
    RxAbortSyncb1 <=#Tp RxAbortSync2;
1715
end
1716
 
1717
always @ (posedge MRxClk or posedge Reset)
1718
begin
1719
  if(Reset)
1720
    RxAbortSyncb2 <=#Tp 1'b0;
1721
  else
1722
    RxAbortSyncb2 <=#Tp RxAbortSyncb1;
1723
end
1724
 
1725
 
1726
always @ (posedge MRxClk or posedge Reset)
1727
begin
1728
  if(Reset)
1729
    RxAbortLatched <=#Tp 1'b0;
1730
  else
1731
  if(RxAbort)
1732
    RxAbortLatched <=#Tp 1'b1;
1733
  else
1734
  if(RxStartFrm)
1735
    RxAbortLatched <=#Tp 1'b0;
1736
end
1737
 
1738
 
1739
reg LoadStatusBlocked;
1740
 
1741
always @ (posedge MRxClk or posedge Reset)
1742
begin
1743
  if(Reset)
1744
    LoadStatusBlocked <=#Tp 1'b0;
1745
  else
1746
  if(LoadRxStatus & ~RxAbortLatched)
1747
    LoadStatusBlocked <=#Tp 1'b1;
1748
  else
1749
  if(RxStatusWrite_rck)
1750
    LoadStatusBlocked <=#Tp 1'b0;
1751
end
1752
 
1753
// LatchedRxLength[15:0]
1754
always @ (posedge MRxClk or posedge Reset)
1755
begin
1756
  if(Reset)
1757
    LatchedRxLength[15:0] <=#Tp 16'h0;
1758
  else
1759
  if(LoadRxStatus & ~RxAbortLatched & ~LoadStatusBlocked)
1760
    LatchedRxLength[15:0] <=#Tp RxLength[15:0];
1761
end
1762
 
1763
 
1764
assign RxStatusIn = {RxOverrun, InvalidSymbol, DribbleNibble, ReceivedPacketTooBig, ShortFrame, LatchedCrcError, RxLateCollision};
1765
 
1766
always @ (posedge MRxClk or posedge Reset)
1767
begin
1768
  if(Reset)
1769
    RxStatusInLatched <=#Tp 'h0;
1770
  else
1771
  if(LoadRxStatus & ~RxAbortLatched & ~LoadStatusBlocked)
1772
    RxStatusInLatched <=#Tp RxStatusIn;
1773
end
1774
 
1775
 
1776
// Rx overrun
1777
always @ (posedge WB_CLK_I or posedge Reset)
1778
begin
1779
  if(Reset)
1780
    RxOverrun <=#Tp 1'b0;
1781
  else
1782
  if(RxStatusWrite)
1783
    RxOverrun <=#Tp 1'b0;
1784
  else
1785
  if(RxBufferFull & WriteRxDataToFifo_wb)
1786
    RxOverrun <=#Tp 1'b1;
1787
end
1788
 
1789
 
1790
 
1791
wire TxError;
1792
assign TxError = TxUnderRun | RetryLimit | LateCollLatched | CarrierSenseLost;
1793
 
1794
wire RxError;
1795
assign RxError = |RxStatusInLatched[6:0];
1796
 
1797
// Tx Done Interrupt
1798
always @ (posedge WB_CLK_I or posedge Reset)
1799
begin
1800
  if(Reset)
1801
    TxB_IRQ <=#Tp 1'b0;
1802
  else
1803
  if(TxStatusWrite & TxIRQEn)
1804
    TxB_IRQ <=#Tp ~TxError;
1805
  else
1806
    TxB_IRQ <=#Tp 1'b0;
1807
end
1808
 
1809
 
1810
// Tx Error Interrupt
1811
always @ (posedge WB_CLK_I or posedge Reset)
1812
begin
1813
  if(Reset)
1814
    TxE_IRQ <=#Tp 1'b0;
1815
  else
1816
  if(TxStatusWrite & TxIRQEn)
1817
    TxE_IRQ <=#Tp TxError;
1818
  else
1819
    TxE_IRQ <=#Tp 1'b0;
1820
end
1821
 
1822
 
1823
// Rx Done Interrupt
1824
always @ (posedge WB_CLK_I or posedge Reset)
1825
begin
1826
  if(Reset)
1827
    RxB_IRQ <=#Tp 1'b0;
1828
  else
1829
  if(RxStatusWrite & RxIRQEn)
1830
    RxB_IRQ <=#Tp ReceivedPacketGood;
1831
  else
1832
    RxB_IRQ <=#Tp 1'b0;
1833
end
1834
 
1835
 
1836
// Rx Error Interrupt
1837
always @ (posedge WB_CLK_I or posedge Reset)
1838
begin
1839
  if(Reset)
1840
    RxE_IRQ <=#Tp 1'b0;
1841
  else
1842
  if(RxStatusWrite & RxIRQEn)
1843
    RxE_IRQ <=#Tp RxError;
1844
  else
1845
    RxE_IRQ <=#Tp 1'b0;
1846
end
1847
 
1848
 
1849
assign RxC_IRQ = 1'b0;
1850
assign TxC_IRQ = 1'b0;
1851
assign Busy_IRQ = 1'b0;
1852
 
1853
 
1854
 
1855
 
1856
 
1857
// TX
1858
// bit 15 ready
1859
// bit 14 interrupt
1860
// bit 13 wrap
1861
// bit 12 pad
1862
// bit 11 crc
1863
// bit 10 last
1864
// bit 9  pause request (control frame)
1865
// bit 8  TxUnderRun          
1866
// bit 7-4 RetryCntLatched    
1867
// bit 3  retransmittion limit
1868
// bit 2  LateCollLatched        
1869
// bit 1  DeferLatched        
1870
// bit 0  CarrierSenseLost    
1871
 
1872
 
1873
// RX
1874
// bit 15 od rx je empty
1875
// bit 14 od rx je interrupt
1876
// bit 13 od rx je wrap
1877
// bit 12 od rx je reserved
1878
// bit 11 od rx je reserved
1879
// bit 10 od rx je reserved
1880
// bit 9  od rx je reserved
1881
// bit 8  od rx je reserved
1882
// bit 7  od rx je Miss               still needs to be done
1883
// bit 6  od rx je RxOverrun
1884
// bit 5  od rx je InvalidSymbol
1885
// bit 4  od rx je DribbleNibble
1886
// bit 3  od rx je ReceivedPacketTooBig
1887
// bit 2  od rx je ShortFrame
1888
// bit 1  od rx je LatchedCrcError
1889
// bit 0  od rx je RxLateCollision
1890
 
1891
endmodule
1892
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.