OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [orp/] [orp_soc/] [rtl/] [verilog/] [ethernet.old/] [generic_tpram.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 746 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Two-Port Synchronous RAM                            ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common two-port                ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  two-port synchronous RAM.                                   ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Double-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage 2-port Sync RAM                                    ////
22
////                                                              ////
23
////  Supported FPGA RAMs are:                                    ////
24
////  - Xilinx Virtex RAMB4_S16_S16                               ////
25
////                                                              ////
26
////  To Do:                                                      ////
27
////   - fix Avant!                                               ////
28
////   - xilinx rams need external tri-state logic                ////
29
////   - add additional RAMs (Altera, VS etc)                     ////
30
////                                                              ////
31
////  Author(s):                                                  ////
32
////      - Damjan Lampret, lampret@opencores.org                 ////
33
////                                                              ////
34
//////////////////////////////////////////////////////////////////////
35
////                                                              ////
36
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
37
////                                                              ////
38
//// This source file may be used and distributed without         ////
39
//// restriction provided that this copyright statement is not    ////
40
//// removed from the file and that any derivative work contains  ////
41
//// the original copyright notice and the associated disclaimer. ////
42
////                                                              ////
43
//// This source file is free software; you can redistribute it   ////
44
//// and/or modify it under the terms of the GNU Lesser General   ////
45
//// Public License as published by the Free Software Foundation; ////
46
//// either version 2.1 of the License, or (at your option) any   ////
47
//// later version.                                               ////
48
////                                                              ////
49
//// This source is distributed in the hope that it will be       ////
50
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
51
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
52
//// PURPOSE.  See the GNU Lesser General Public License for more ////
53
//// details.                                                     ////
54
////                                                              ////
55
//// You should have received a copy of the GNU Lesser General    ////
56
//// Public License along with this source; if not, download it   ////
57
//// from http://www.opencores.org/lgpl.shtml                     ////
58
////                                                              ////
59
//////////////////////////////////////////////////////////////////////
60
//
61
// CVS Revision History
62
//
63
// $Log: not supported by cvs2svn $
64
// Revision 1.2  2001/11/09 00:34:19  samg
65
// minor changes: unified with all common rams
66
//
67
// Revision 1.1  2001/11/07 18:10:21  samg
68
// added checks and task in behavioral section
69
//
70
// Revision 1.7  2001/10/21 17:57:16  lampret
71
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
72
//
73
// Revision 1.6  2001/10/14 13:12:09  lampret
74
// MP3 version.
75
//
76
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
77
// no message
78
//
79
// Revision 1.1  2001/08/09 13:39:33  lampret
80
// Major clean-up.
81
//
82
// Revision 1.2  2001/07/30 05:38:02  lampret
83
// Adding empty directories required by HDL coding guidelines
84
//
85
//
86
 
87
// synopsys translate_off
88
//`include "timescale.v"
89
// synopsys translate_on
90
//`include "defines.v"
91
 
92
module generic_tpram(
93
        // Generic synchronous two-port RAM interface
94
        clk_a, rst_a, ce_a, we_a, oe_a, addr_a, di_a, do_a,
95
        clk_b, rst_b, ce_b, we_b, oe_b, addr_b, di_b, do_b
96
);
97
 
98
//
99
// Default address and data buses width
100
//
101
parameter aw = 5;
102
parameter dw = 32;
103
parameter MEM_SIZE = (1<<aw);
104
 
105
//
106
// Generic synchronous two-port RAM interface
107
//
108
input                   clk_a;  // Clock
109
input                   rst_a;  // Reset
110
input                   ce_a;   // Chip enable input
111
input                   we_a;   // Write enable input
112
input                   oe_a;   // Output enable input
113
input   [aw-1:0] addr_a; // address bus inputs
114
input   [dw-1:0] di_a;   // input data bus
115
output  [dw-1:0] do_a;   // output data bus
116
input                   clk_b;  // Clock
117
input                   rst_b;  // Reset
118
input                   ce_b;   // Chip enable input
119
input                   we_b;   // Write enable input
120
input                   oe_b;   // Output enable input
121
input   [aw-1:0] addr_b; // address bus inputs
122
input   [dw-1:0] di_b;   // input data bus
123
output  [dw-1:0] do_b;   // output data bus
124
 
125
//
126
// Internal wires and registers
127
//
128
 
129
 
130
`ifdef ARTISAN_SDP
131
 
132
//
133
// Instantiation of ASIC memory:
134
//
135
// Artisan Synchronous Double-Port RAM (ra2sh)
136
//
137
`ifdef UNUSED
138
art_hsdp_32x32 #(dw, 1<<aw, aw) artisan_sdp(
139
`else
140
art_hsdp_32x32 artisan_sdp(
141
`endif
142
        .qa(do_a),
143
        .clka(clk_a),
144
        .cena(~ce_a),
145
        .wena(~we_a),
146
        .aa(addr_a),
147
        .da(di_a),
148
        .oena(~oe_a),
149
        .qb(do_b),
150
        .clkb(clk_b),
151
        .cenb(~ce_b),
152
        .wenb(~we_b),
153
        .ab(addr_b),
154
        .db(di_b),
155
        .oenb(~oe_b)
156
);
157
 
158
`else
159
 
160
`ifdef AVANT_ATP
161
 
162
//
163
// Instantiation of ASIC memory:
164
//
165
// Avant! Asynchronous Two-Port RAM
166
//
167
avant_atp avant_atp(
168
        .web(~we),
169
        .reb(),
170
        .oeb(~oe),
171
        .rcsb(),
172
        .wcsb(),
173
        .ra(addr),
174
        .wa(addr),
175
        .di(di),
176
        .do(do)
177
);
178
 
179
`else
180
 
181
`ifdef VIRAGE_STP
182
 
183
//
184
// Instantiation of ASIC memory:
185
//
186
// Virage Synchronous 2-port R/W RAM
187
//
188
virage_stp virage_stp(
189
        .QA(do_a),
190
        .QB(do_b),
191
 
192
        .ADRA(addr_a),
193
        .DA(di_a),
194
        .WEA(we_a),
195
        .OEA(oe_a),
196
        .MEA(ce_a),
197
        .CLKA(clk_a),
198
 
199
        .ADRB(adr_b),
200
        .DB(di_b),
201
        .WEB(we_b),
202
        .OEB(oe_b),
203
        .MEB(ce_b),
204
        .CLKB(clk_b)
205
);
206
 
207
`else
208
 
209
`ifdef XILINX_RAMB4
210
 
211
//
212
// Instantiation of FPGA memory:
213
//
214
// Virtex/Spartan2
215
//
216
 
217
//
218
// Block 0
219
//
220
RAMB4_S16_S16 ramb4_s16_s16_0(
221
        .CLKA(clk_a),
222
        .RSTA(rst_a),
223
        .ADDRA(addr_a),
224
        .DIA(di_a[15:0]),
225
        .ENA(ce_a),
226
        .WEA(we_a),
227
        .DOA(do_a[15:0]),
228
 
229
        .CLKB(clk_b),
230
        .RSTB(rst_b),
231
        .ADDRB(addr_b),
232
        .DIB(di_b[15:0]),
233
        .ENB(ce_b),
234
        .WEB(we_b),
235
        .DOB(do_b[15:0])
236
);
237
 
238
//
239
// Block 1
240
//
241
RAMB4_S16_S16 ramb4_s16_s16_1(
242
        .CLKA(clk_a),
243
        .RSTA(rst_a),
244
        .ADDRA(addr_a),
245
        .DIA(di_a[31:16]),
246
        .ENA(ce_a),
247
        .WEA(we_a),
248
        .DOA(do_a[31:16]),
249
 
250
        .CLKB(clk_b),
251
        .RSTB(rst_b),
252
        .ADDRB(addr_b),
253
        .DIB(di_b[31:16]),
254
        .ENB(ce_b),
255
        .WEB(we_b),
256
        .DOB(do_b[31:16])
257
);
258
 
259
`else
260
 
261
//
262
// Generic two-port synchronous RAM model
263
//
264
 
265
//
266
// Generic RAM's registers and wires
267
//
268
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
269
wire    [dw-1:0] do_reg_a;               // RAM data output register
270
wire    [dw-1:0] do_reg_b;               // RAM data output register
271
reg     [dw-1:0] do_wc_reg_a;            // RAM data output register (write check)
272
reg     [dw-1:0] do_wc_reg_b;            // RAM data output register (write check)
273
 
274
//
275
// Data output drivers
276
//
277
// Output only valid when output enabled and chip enbled
278
assign do_a = (oe_a & ce_a) ? do_reg_a : {dw{1'bz}};
279
assign do_b = (oe_b & ce_b) ? do_reg_b : {dw{1'bz}};
280
 
281
// Output is invalid while writing data 
282
assign do_reg_a = (we_a) ? {dw{1'b x}} : do_wc_reg_a;
283
assign do_reg_b = (we_b) ? {dw{1'b x}} : do_wc_reg_b;
284
 
285
//
286
// RAM read and write
287
//
288
always @(posedge clk_a)
289
        if (ce_a && !we_a)
290
                do_wc_reg_a <= #1 (we_b && (addr_a==addr_b)) ? {dw{1'b x}} : mem[addr_a];
291
        else if (ce_a && we_a)
292
                mem[addr_a] <= #1 di_a;
293
 
294
//
295
// RAM read and write
296
//
297
always @(posedge clk_b)
298
        if (ce_b && !we_b)
299
                do_wc_reg_b <= #1 (we_a && (addr_a==addr_b)) ? {dw{1'b x}} : mem[addr_b];
300
        else if (ce_b && we_b)
301
                mem[addr_b] <= #1 di_b;
302
 
303
// Task prints range of memory
304
// *** Remember that tasks are non reentrant, don't call this task in parallel for multiple instantiations. 
305
task print_ram;
306
input [aw-1:0] start;
307
input [aw-1:0] finish;
308
integer rnum;
309
  begin
310
    for (rnum=start;rnum<=finish;rnum=rnum+1)
311
      $display("Addr %h = %h",rnum,mem[rnum]);
312
  end
313
endtask
314
 
315
`endif  // !XILINX_RAMB4_S16_S16
316
`endif  // !VIRAGE_STP
317
`endif  // !AVANT_ATP
318
`endif  // !ARTISAN_SDP
319
 
320
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.