OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [orp/] [orp_soc/] [rtl/] [verilog/] [ssvga/] [ssvga_defines.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 746 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Simple Small VGA IP Core                                    ////
4
////                                                              ////
5
////  This file is part of the Simple Small VGA project           ////
6
////                                                              ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Definitions.                                                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.2  2002/02/01 15:24:46  mihad
48
// Repaired a few bugs, updated specification, added test bench files and design document
49
//
50
// Revision 1.1.1.1  2001/10/02 15:33:33  mihad
51
// New project directory structure
52
//
53
//
54
 
55
//`define XILINX_RAMB4
56
`define SSVGA_640x480
57
 
58
`ifdef SSVGA_640x480
59
`define PIXEL_NUM 'd307200 // 383330
60
`define SSVGA_HCW       10
61
`define SSVGA_VCW       10
62
//`define SSVGA_HTOT    `SSVGA_HCW'd3178
63
//`define SSVGA_HPULSE  `SSVGA_HCW'd381
64
`define SSVGA_HTOT          `SSVGA_HCW'd750
65
`define SSVGA_HPULSE    `SSVGA_HCW'd90
66
`define SSVGA_HFRONTP   `SSVGA_HCW'd10
67
`define SSVGA_HBACKP    `SSVGA_HCW'd10
68
 
69
//`define SSVGA_VTOT    `SSVGA_VCW'd525
70
//`define SSVGA_VPULSE  `SSVGA_VCW'd3
71
`define SSVGA_VTOT          `SSVGA_VCW'd511
72
`define SSVGA_VPULSE    `SSVGA_VCW'd4
73
`define SSVGA_VFRONTP   `SSVGA_HCW'd12
74
`define SSVGA_VBACKP    `SSVGA_HCW'd15
75
`define SSVGA_VMCW      17
76
`endif
77
 
78
`define XILINX_RAMB4

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.