OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_52/] [or1ksim/] [support/] [dumpverilog.h] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 lampret
#define DW 32   /* Data width of memory model generated by dumpverilog in bits */
2
#define DWQ (DW/8) /* Same as DW but units are bytes */
3
 
4
#define OR1K_MEM_VERILOG_HEADER(MODNAME, FROMADDR, TOADDR) "\n\
5
`include \"general.h\"\n\n\
6
`timescale 1ns/100ps\n\n\
7
// Simple dw-wide Sync SRAM with initial content generated by or1ksim.\n\
8
// All control, data in and addr signals are sampled at rising clock edge  \n\
9
// Data out is not registered. Address bits specify dw-word (narrowest \n\
10
// addressed data is not byte but dw-word !). \n
11
// There are still some bugs in generated output (dump word aligned regions)\n\n\
12
module %s(clk, data, addr, ce, we);\n\n\
13
parameter dw = 32;\n\
14
parameter amin = %d;\n\n\
15
parameter amax = %d;\n\n\
16
input clk;\n\
17
inout [dw-1:0] data;\n\
18
input [31:0] addr;\n\
19
input ce;\n\
20
input we;\n\n\
21
reg  [dw-1:0] mem [amax:amin];\n\
22
reg  [199:0] dis [amax:amin];\n\
23
reg  [dw-1:0] dataout;\n\
24
tri  [dw-1:0] data = (ce && ~we) ? dataout : 'bz;\n\n\
25
initial begin\n", MODNAME, FROMADDR, TOADDR
26
 
27
#define OR1K_MEM_VERILOG_FOOTER "\n\
28
end\n\n\
29
always @(posedge clk) begin\n\
30
        if (ce && ~we) begin\n\
31
                dataout = #1 mem[addr];\n\
32
                $display(\"or1k_mem: reading mem[%%0d]:%%h dis: %%0s\", addr, dataout, dis[addr]);\n\
33
        end else\n\
34
        if (ce && we) begin\n\
35
                mem[addr] = data;\n\
36
                dis[addr] = \"(data)\";\n\
37
                $display(\"or1k_mem: writing mem[%%0d]:%%h dis: %%0s\", addr, mem[addr], dis[addr]);\n\
38
        end\n\
39
end\n\n\
40
endmodule\n"
41
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.