OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 1783

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 895 lampret
// Revision 1.4  2002/03/29 15:16:55  lampret
48
// Some of the warnings fixed.
49
//
50 788 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
51
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
52
//
53 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
54
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
55
//
56 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
57
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
58
//
59 504 lampret
// Revision 1.10  2001/11/13 10:02:21  lampret
60
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
61
//
62
// Revision 1.9  2001/10/21 17:57:16  lampret
63
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
64
//
65
// Revision 1.8  2001/10/19 23:28:46  lampret
66
// Fixed some synthesis warnings. Configured with caches and MMUs.
67
//
68
// Revision 1.7  2001/10/14 13:12:09  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
72
// no message
73
//
74
// Revision 1.2  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
// Revision 1.1  2001/07/20 00:46:03  lampret
78
// Development version of RTL. Libraries are missing.
79
//
80
//
81
 
82
// synopsys translate_off
83
`include "timescale.v"
84
// synopsys translate_on
85
`include "or1200_defines.v"
86
 
87
`define OR1200_NO_FREEZE        3'd0
88
`define OR1200_FREEZE_BYDC      3'd1
89
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
90
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
91
`define OR1200_WAIT_IC                  3'd4
92
 
93
//
94
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
95
//
96
module or1200_freeze(
97
        // Clock and reset
98
        clk, rst,
99
 
100
        // Internal i/f
101
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
102
        lsu_unstall, du_stall, mac_stall,
103 617 lampret
        force_dslot_fetch, abort_ex,
104 895 lampret
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
105
        icpu_ack_i, icpu_err_i
106 504 lampret
);
107
 
108
//
109
// I/O
110
//
111
input                           clk;
112
input                           rst;
113
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
114
input                           flushpipe;
115
input                           extend_flush;
116
input                           lsu_stall;
117
input                           if_stall;
118
input                           lsu_unstall;
119
input                           force_dslot_fetch;
120 617 lampret
input                           abort_ex;
121 504 lampret
input                           du_stall;
122
input                           mac_stall;
123 562 lampret
output                          genpc_freeze;
124 504 lampret
output                          if_freeze;
125
output                          id_freeze;
126
output                          ex_freeze;
127
output                          wb_freeze;
128 895 lampret
input                           icpu_ack_i;
129
input                           icpu_err_i;
130 504 lampret
 
131
//
132
// Internal wires and regs
133
//
134
wire                            multicycle_freeze;
135 788 lampret
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
136 895 lampret
reg                             flushpipe_r;
137 504 lampret
 
138
//
139
// Pipeline freeze
140
//
141
// Rules how to create freeze signals:
142
// 1. Not overwriting pipeline stages:
143
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
144
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
145
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
146
//
147
// 2. Inserting NOPs in the middle of pipeline only if supported:
148
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
149
// This way NOP is asserted from stage ID into EX stage.
150
//
151 895 lampret
assign genpc_freeze = du_stall | flushpipe_r;
152 504 lampret
assign if_freeze = id_freeze | extend_flush;
153
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
154
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall;
155
assign ex_freeze = wb_freeze;
156
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
157 617 lampret
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
158 504 lampret
 
159
//
160 895 lampret
// registered flushpipe
161
//
162
always @(posedge clk or posedge rst)
163
        if (rst)
164
                flushpipe_r <= #1 1'b0;
165
        else if (icpu_ack_i | icpu_err_i)
166
//      else if (!if_stall)
167
                flushpipe_r <= #1 flushpipe;
168
        else if (!flushpipe)
169
                flushpipe_r <= #1 1'b0;
170
 
171
//
172 504 lampret
// Multicycle freeze
173
//
174
assign multicycle_freeze = |multicycle_cnt;
175
 
176
//
177
// Multicycle counter
178
//
179
always @(posedge clk or posedge rst)
180
        if (rst)
181
                multicycle_cnt <= #1 3'b0;
182
        else if (multicycle_cnt)
183
                multicycle_cnt <= #1 multicycle_cnt - 'd1;
184
        else if (multicycle & !ex_freeze)
185
                multicycle_cnt <= #1 multicycle;
186
 
187
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.