OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_ic_fsm.v] - Blame information for rev 1780

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's IC FSM                                             ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Data cache state machine                                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 788 lampret
// Revision 1.6  2002/03/28 19:10:40  lampret
48
// Optimized cache controller FSM.
49
//
50 775 lampret
// Revision 1.1.1.1  2002/03/21 16:55:45  lampret
51
// First import of the "new" XESS XSV environment.
52
//
53
//
54
// Revision 1.5  2002/02/11 04:33:17  lampret
55
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
56
//
57 660 lampret
// Revision 1.4  2002/02/01 19:56:54  lampret
58
// Fixed combinational loops.
59
//
60 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
61
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
62
//
63 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
64
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
65
//
66 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
67
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
68
//
69 504 lampret
// Revision 1.9  2001/10/21 17:57:16  lampret
70
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
71
//
72
// Revision 1.8  2001/10/19 23:28:46  lampret
73
// Fixed some synthesis warnings. Configured with caches and MMUs.
74
//
75
// Revision 1.7  2001/10/14 13:12:09  lampret
76
// MP3 version.
77
//
78
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
79
// no message
80
//
81
// Revision 1.2  2001/08/09 13:39:33  lampret
82
// Major clean-up.
83
//
84
// Revision 1.1  2001/07/20 00:46:03  lampret
85
// Development version of RTL. Libraries are missing.
86
//
87
//
88
 
89
// synopsys translate_off
90
`include "timescale.v"
91
// synopsys translate_on
92
`include "or1200_defines.v"
93
 
94 788 lampret
`define OR1200_ICFSM_IDLE       2'd0
95
`define OR1200_ICFSM_CFETCH     2'd1
96
`define OR1200_ICFSM_LREFILL3   2'd2
97
`define OR1200_ICFSM_IFETCH     2'd3
98 504 lampret
 
99
//
100
// Data cache FSM for cache line of 16 bytes (4x singleword)
101
//
102
 
103
module or1200_ic_fsm(
104
        // Clock and reset
105
        clk, rst,
106
 
107
        // Internal i/f to top level IC
108 788 lampret
        ic_en, icimmu_cycstb_i, icimmu_ci_i,
109 504 lampret
        tagcomp_miss, biudata_valid, biudata_error, start_addr, saved_addr,
110
        icram_we, biu_read, first_hit_ack, first_miss_ack, first_miss_err,
111 660 lampret
        burst, tag_we
112 504 lampret
);
113
 
114
//
115
// I/O
116
//
117
input                           clk;
118
input                           rst;
119
input                           ic_en;
120 660 lampret
input                           icimmu_cycstb_i;
121 504 lampret
input                           icimmu_ci_i;
122
input                           tagcomp_miss;
123
input                           biudata_valid;
124
input                           biudata_error;
125
input   [31:0]                   start_addr;
126
output  [31:0]                   saved_addr;
127
output  [3:0]                    icram_we;
128
output                          biu_read;
129
output                          first_hit_ack;
130
output                          first_miss_ack;
131
output                          first_miss_err;
132
output                          burst;
133 660 lampret
output                          tag_we;
134 504 lampret
 
135
//
136
// Internal wires and regs
137
//
138 660 lampret
reg     [31:0]                   saved_addr_r;
139 788 lampret
reg     [1:0]                    state;
140 504 lampret
reg     [2:0]                    cnt;
141
reg                             hitmiss_eval;
142
reg                             load;
143 660 lampret
reg                             cache_inhibit;
144 504 lampret
 
145
//
146
// Generate of ICRAM write enables
147
//
148 660 lampret
assign icram_we = {4{load & biudata_valid & !cache_inhibit}};
149
assign tag_we = biu_read & biudata_valid & !cache_inhibit;
150 504 lampret
 
151
//
152
// BIU read and write
153
//
154
assign biu_read = (hitmiss_eval & tagcomp_miss) | (!hitmiss_eval & load);
155
 
156 660 lampret
//assign saved_addr = hitmiss_eval ? start_addr : saved_addr_r;
157
assign saved_addr = saved_addr_r;
158
 
159 504 lampret
//
160
// Assert for cache hit first word ready
161
// Assert for cache miss first word stored/loaded OK
162
// Assert for cache miss first word stored/loaded with an error
163
//
164 660 lampret
assign first_hit_ack = (state == `OR1200_ICFSM_CFETCH) & hitmiss_eval & !tagcomp_miss & !cache_inhibit & !icimmu_ci_i;
165
assign first_miss_ack = (state == `OR1200_ICFSM_CFETCH) & biudata_valid;
166
assign first_miss_err = (state == `OR1200_ICFSM_CFETCH) & biudata_error;
167 504 lampret
 
168
//
169
// Assert burst when doing reload of complete cache line
170
//
171 660 lampret
assign burst = (state == `OR1200_ICFSM_CFETCH) & tagcomp_miss & !cache_inhibit
172 504 lampret
                | (state == `OR1200_ICFSM_LREFILL3);
173
 
174
//
175
// Main IC FSM
176
//
177
always @(posedge clk or posedge rst) begin
178
        if (rst) begin
179
                state <= #1 `OR1200_ICFSM_IDLE;
180 660 lampret
                saved_addr_r <= #1 32'b0;
181 504 lampret
                hitmiss_eval <= #1 1'b0;
182
                load <= #1 1'b0;
183
                cnt <= #1 3'b000;
184 660 lampret
                cache_inhibit <= #1 1'b0;
185 504 lampret
        end
186
        else
187
        case (state)    // synopsys parallel_case
188
                `OR1200_ICFSM_IDLE :
189 660 lampret
                        if (ic_en & icimmu_cycstb_i) begin              // fetch
190 636 lampret
                                state <= #1 `OR1200_ICFSM_CFETCH;
191 660 lampret
                                saved_addr_r <= #1 start_addr;
192 504 lampret
                                hitmiss_eval <= #1 1'b1;
193
                                load <= #1 1'b1;
194 660 lampret
                                cache_inhibit <= #1 1'b0;
195 504 lampret
                        end
196
                        else begin                                                      // idle
197
                                hitmiss_eval <= #1 1'b0;
198
                                load <= #1 1'b0;
199 660 lampret
                                cache_inhibit <= #1 1'b0;
200 504 lampret
                        end
201 660 lampret
                `OR1200_ICFSM_CFETCH: begin     // fetch
202
                        if (icimmu_cycstb_i & icimmu_ci_i)
203
                                cache_inhibit <= #1 1'b1;
204
                        if (hitmiss_eval)
205
                                saved_addr_r[31:13] <= #1 start_addr[31:13];
206 775 lampret
                        if ((!ic_en) ||
207
                            (hitmiss_eval & !icimmu_cycstb_i) ||        // fetch aborted (usually caused by IMMU)
208
                            (biudata_error) ||                                          // fetch terminated with an error
209
                            (cache_inhibit & biudata_valid)) begin      // fetch from cache-inhibited page
210 504 lampret
                                state <= #1 `OR1200_ICFSM_IDLE;
211
                                hitmiss_eval <= #1 1'b0;
212
                                load <= #1 1'b0;
213 660 lampret
                                cache_inhibit <= #1 1'b0;
214 504 lampret
                        end
215 636 lampret
                        else if (tagcomp_miss & biudata_valid) begin    // fetch missed, finish current external fetch and refill
216 504 lampret
                                state <= #1 `OR1200_ICFSM_LREFILL3;
217 660 lampret
                                saved_addr_r[3:2] <= #1 saved_addr_r[3:2] + 'd1;
218 504 lampret
                                hitmiss_eval <= #1 1'b0;
219
                                cnt <= #1 `OR1200_ICLS-2;
220 660 lampret
                                cache_inhibit <= #1 1'b0;
221 504 lampret
                        end
222 660 lampret
                        else if (!tagcomp_miss & !icimmu_ci_i) begin    // fetch hit, finish immediately
223
                                saved_addr_r <= #1 start_addr;
224
                                cache_inhibit <= #1 1'b0;
225 504 lampret
                        end
226 660 lampret
                        else if (!icimmu_cycstb_i) begin        // fetch aborted (usually caused by exception)
227 562 lampret
                                state <= #1 `OR1200_ICFSM_IDLE;
228
                                hitmiss_eval <= #1 1'b0;
229
                                load <= #1 1'b0;
230 660 lampret
                                cache_inhibit <= #1 1'b0;
231 562 lampret
                        end
232 636 lampret
                        else                                            // fetch in-progress
233 504 lampret
                                hitmiss_eval <= #1 1'b0;
234 660 lampret
                end
235 504 lampret
                `OR1200_ICFSM_LREFILL3 : begin
236 775 lampret
                        if (biudata_valid && (|cnt)) begin              // refill ack, more fetchs to come
237 504 lampret
                                cnt <= #1 cnt - 'd1;
238 660 lampret
                                saved_addr_r[3:2] <= #1 saved_addr_r[3:2] + 'd1;
239 504 lampret
                        end
240 636 lampret
                        else if (biudata_valid) begin                   // last fetch of line refill
241 504 lampret
                                state <= #1 `OR1200_ICFSM_IDLE;
242 660 lampret
                                saved_addr_r <= #1 start_addr;
243 504 lampret
                                hitmiss_eval <= #1 1'b0;
244
                                load <= #1 1'b0;
245
                        end
246
                end
247
                default:
248
                        state <= #1 `OR1200_ICFSM_IDLE;
249
        endcase
250
end
251
 
252
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.