OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 660

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 660 lampret
// Revision 1.4  2002/02/01 19:56:54  lampret
48
// Fixed combinational loops.
49
//
50 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
51
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
52
//
53 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
54
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
55
//
56 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
57
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
58
//
59 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
60
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
61
//
62
// Revision 1.9  2001/10/14 13:12:09  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
66
// no message
67
//
68
// Revision 1.4  2001/08/13 03:36:20  lampret
69
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
70
//
71
// Revision 1.3  2001/08/09 13:39:33  lampret
72
// Major clean-up.
73
//
74
// Revision 1.2  2001/07/22 03:31:53  lampret
75
// Fixed RAM's oen bug. Cache bypass under development.
76
//
77
// Revision 1.1  2001/07/20 00:46:03  lampret
78
// Development version of RTL. Libraries are missing.
79
//
80
//
81
 
82
// synopsys translate_off
83
`include "timescale.v"
84
// synopsys translate_on
85
`include "or1200_defines.v"
86
 
87
//
88
// Data cache
89
//
90
module or1200_ic_top(
91
        // Rst, clk and clock control
92
        clk, rst,
93
 
94
        // External i/f
95
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
96
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
97
 
98
        // Internal i/f
99
        ic_en,
100 660 lampret
        icimmu_adr_i, icimmu_cycstb_i, icimmu_ci_i,
101 504 lampret
        icpu_we_i, icpu_sel_i, icpu_tag_i,
102 617 lampret
        icpu_dat_o, icpu_ack_o, icimmu_rty_o, icimmu_err_o, icimmu_tag_o,
103 504 lampret
 
104
        // SPRs
105
        spr_cs, spr_write, spr_dat_i
106
);
107
 
108
parameter dw = `OR1200_OPERAND_WIDTH;
109
 
110
//
111
// I/O
112
//
113
 
114
//
115
// Clock and reset
116
//
117
input                           clk;
118
input                           rst;
119
 
120
//
121
// External I/F
122
//
123
output  [dw-1:0]         icbiu_dat_o;
124
output  [31:0]                   icbiu_adr_o;
125
output                          icbiu_cyc_o;
126
output                          icbiu_stb_o;
127
output                          icbiu_we_o;
128
output  [3:0]                    icbiu_sel_o;
129
output                          icbiu_cab_o;
130
input   [dw-1:0]         icbiu_dat_i;
131
input                           icbiu_ack_i;
132
input                           icbiu_err_i;
133
 
134
//
135
// Internal I/F
136
//
137
input                           ic_en;
138
input   [31:0]                   icimmu_adr_i;
139 660 lampret
input                           icimmu_cycstb_i;
140 504 lampret
input                           icimmu_ci_i;
141
input                           icpu_we_i;
142
input   [3:0]                    icpu_sel_i;
143
input   [3:0]                    icpu_tag_i;
144
output  [dw-1:0]         icpu_dat_o;
145
output                          icpu_ack_o;
146 617 lampret
output                          icimmu_rty_o;
147 504 lampret
output                          icimmu_err_o;
148
output  [3:0]                    icimmu_tag_o;
149
 
150
//
151
// SPR access
152
//
153
input                           spr_cs;
154
input                           spr_write;
155
input   [31:0]                   spr_dat_i;
156
 
157
//
158
// Internal wires and regs
159
//
160
wire                            tag_v;
161
wire    [`OR1200_ICTAG_W-2:0]    tag;
162
wire    [dw-1:0]         to_icram;
163
wire    [dw-1:0]         from_icram;
164
wire    [31:0]                   saved_addr;
165
wire    [3:0]                    icram_we;
166
wire                            ictag_we;
167
wire    [31:0]                   ic_addr;
168
wire                            icfsm_biu_read;
169
reg                             tagcomp_miss;
170
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
171
wire                            ictag_en;
172
wire                            ictag_v;
173
wire                            ic_inv;
174
wire                            icfsm_first_hit_ack;
175
wire                            icfsm_first_miss_ack;
176
wire                            icfsm_first_miss_err;
177
wire                            icfsm_burst;
178 660 lampret
wire                            icfsm_tag_we;
179 504 lampret
 
180
//
181
// Simple assignments
182
//
183
assign icbiu_adr_o = ic_addr;
184
assign ic_inv = spr_cs & spr_write;
185 660 lampret
assign ictag_we = icfsm_tag_we | ic_inv;
186 504 lampret
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
187
assign ictag_en = ic_inv | ic_en;
188
assign ictag_v = ~ic_inv;
189
 
190
//
191
// Data to BIU is from ICRAM when IC is enabled or from LSU when
192
// IC is disabled
193
//
194
assign icbiu_dat_o = 32'h00000000;
195
 
196
//
197
// Bypases of the IC when IC is disabled
198
//
199 660 lampret
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icimmu_cycstb_i;
200
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icimmu_cycstb_i;
201 504 lampret
assign icbiu_we_o = 1'b0;
202
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icpu_sel_i;
203
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
204 617 lampret
assign icimmu_rty_o = ~icpu_ack_o & ~icimmu_err_o;
205 562 lampret
assign icimmu_tag_o = icimmu_err_o ? `OR1200_ITAG_BE : icpu_tag_i;
206 504 lampret
 
207
//
208
// CPU normal and error termination
209
//
210 617 lampret
assign icpu_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
211 504 lampret
assign icimmu_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
212
 
213
//
214
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
215
//
216
assign ic_addr = (icfsm_biu_read) ? saved_addr : icimmu_adr_i;
217
 
218
//
219
// Select between input data generated by LSU or by BIU
220
//
221
assign to_icram = icbiu_dat_i;
222
 
223
//
224
// Select between data generated by ICRAM or passed by BIU
225
//
226
assign icpu_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
227
 
228
//
229
// Tag comparison
230
//
231
always @(tag or saved_addr or tag_v) begin
232
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
233
                tagcomp_miss = 1'b1;
234
        else
235
                tagcomp_miss = 1'b0;
236
end
237
 
238
//
239
// Instantiation of IC Finite State Machine
240
//
241
or1200_ic_fsm or1200_ic_fsm(
242
        .clk(clk),
243
        .rst(rst),
244
        .ic_en(ic_en),
245 660 lampret
        .icimmu_cycstb_i(icimmu_cycstb_i),
246 562 lampret
        .icimmu_ci_i(icimmu_ci_i),
247 504 lampret
        .icpu_sel_i(icpu_sel_i),
248
        .tagcomp_miss(tagcomp_miss),
249
        .biudata_valid(icbiu_ack_i),
250
        .biudata_error(icbiu_err_i),
251
        .start_addr(icimmu_adr_i),
252
        .saved_addr(saved_addr),
253
        .icram_we(icram_we),
254
        .biu_read(icfsm_biu_read),
255
        .first_hit_ack(icfsm_first_hit_ack),
256
        .first_miss_ack(icfsm_first_miss_ack),
257
        .first_miss_err(icfsm_first_miss_err),
258 660 lampret
        .burst(icfsm_burst),
259
        .tag_we(icfsm_tag_we)
260 504 lampret
);
261
 
262
//
263
// Instantiation of IC main memory
264
//
265
or1200_ic_ram or1200_ic_ram(
266
        .clk(clk),
267
        .rst(rst),
268
        .addr(ic_addr[`OR1200_ICINDXH:2]),
269
        .en(ic_en),
270
        .we(icram_we),
271
        .datain(to_icram),
272
        .dataout(from_icram)
273
);
274
 
275
//
276
// Instantiation of IC TAG memory
277
//
278
or1200_ic_tag or1200_ic_tag(
279
        .clk(clk),
280
        .rst(rst),
281
        .addr(ictag_addr),
282
        .en(ictag_en),
283
        .we(ictag_we),
284
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
285
        .tag_v(tag_v),
286
        .tag(tag)
287
);
288
 
289
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.